[Cryptech-Commits] [core/util/keywrap] 45/95: We haz keywrap! Time to add more testcases and make them self testing. Oh and implement unwrap.

git at cryptech.is git at cryptech.is
Wed Mar 25 17:18:44 UTC 2020


This is an automated email from the git hooks/post-receive script.

paul at psgd.org pushed a commit to branch master
in repository core/util/keywrap.

commit 8aa976a148416643652b594c5e35743fc2013dc2
Author: Joachim Strömbergson <joachim at secworks.se>
AuthorDate: Thu Jul 5 10:54:09 2018 +0200

    We haz keywrap! Time to add more testcases and make them self testing. Oh and implement unwrap.
---
 src/rtl/keywrap_core.v | 3 +--
 src/tb/tb_keywrap.v    | 7 +++----
 2 files changed, 4 insertions(+), 6 deletions(-)

diff --git a/src/rtl/keywrap_core.v b/src/rtl/keywrap_core.v
index bb7cc09..0788024 100644
--- a/src/rtl/keywrap_core.v
+++ b/src/rtl/keywrap_core.v
@@ -69,8 +69,7 @@ module keywrap_core (
   //----------------------------------------------------------------
   // Paramenters and local defines.
   //----------------------------------------------------------------
-  localparam OUTER_LOOP_MAX = 6;
-
+  localparam OUTER_LOOP_MAX = 6 - 1;
 
   localparam CTRL_IDLE          = 4'h0;
 
diff --git a/src/tb/tb_keywrap.v b/src/tb/tb_keywrap.v
index 2cabca3..71823b6 100644
--- a/src/tb/tb_keywrap.v
+++ b/src/tb/tb_keywrap.v
@@ -39,9 +39,9 @@
 
 module tb_keywrap();
 
-  parameter DEBUG     = 1;
-  parameter DUMP_TOP  = 1;
-  parameter DUMP_CORE = 1;
+  parameter DEBUG     = 0;
+  parameter DUMP_TOP  = 0;
+  parameter DUMP_CORE = 0;
 
   parameter CLK_HALF_PERIOD = 1;
   parameter CLK_PERIOD      = 2 * CLK_HALF_PERIOD;
@@ -362,7 +362,6 @@ module tb_keywrap();
   task test_kwp_ae_128_4;
     begin : kwp_ae_128_4
       integer i;
-
       tc_ctr = tc_ctr + 1;
 
       $display("** TC kwp_ae_128_4 START.");



More information about the Commits mailing list