[Cryptech-Commits] [core/math/ecdsalib] branch master updated (ab4638f -> 628d1dc)

git at cryptech.is git at cryptech.is
Tue Apr 17 11:47:15 UTC 2018


This is an automated email from the git hooks/post-receive script.

meisterpaul1 at yandex.ru pushed a change to branch master
in repository core/math/ecdsalib.

    from ab4638f  Promote code common to both ECDSA* cores to separate repository in core/ tree.
     new 8836d99  Minor fixes.
     new 628d1dc  Microcode for ECDH cores.

The 2 revisions listed above as "new" are entirely new to this
repository and will be described in separate emails.  The revisions
listed as "add" were already present in the repository and have only
been added to this reference.


Summary of changes:
 rtl/curve/uop/uop_add_rom.v                           |  2 +-
 rtl/curve/uop/uop_conv_rom.v                          |  2 +-
 rtl/curve/uop/uop_dbl_rom.v                           |  2 +-
 rtl/curve/uop/uop_init_rom.v                          |  4 ++--
 rtl/curve/uop/{uop_init_rom.v => uop_init_rom_ecdh.v} | 12 ++++++------
 5 files changed, 11 insertions(+), 11 deletions(-)
 copy rtl/curve/uop/{uop_init_rom.v => uop_init_rom_ecdh.v} (57%)



More information about the Commits mailing list