[Cryptech-Commits] [sw/stm32] 06/07: Added call to ice40mkm_init() during initialization.

git at cryptech.is git at cryptech.is
Mon Sep 13 09:04:50 UTC 2021


This is an automated email from the git hooks/post-receive script.

meisterpaul1 at yandex.ru pushed a commit to branch ice40mkm
in repository sw/stm32.

commit 34d20b94d7f653dd398014bf9038a5215a82eba1
Author: Pavel V. Shatov (Meister) <meisterpaul1 at yandex.ru>
AuthorDate: Mon Sep 13 12:03:21 2021 +0300

    Added call to ice40mkm_init() during initialization.
---
 stm-init.c | 2 ++
 1 file changed, 2 insertions(+)

diff --git a/stm-init.c b/stm-init.c
index c06554b..dc2972a 100644
--- a/stm-init.c
+++ b/stm-init.c
@@ -46,6 +46,7 @@
 #ifdef HAL_SPI_MODULE_ENABLED
 #include "stm-fpgacfg.h"
 #include "stm-keystore.h"
+#include "stm-ice40mkm.h"
 #endif
 #ifdef HAL_SRAM_MODULE_ENABLED
 #include "stm-fmc.h"
@@ -83,6 +84,7 @@ void stm_init(void)
 #ifdef HAL_SPI_MODULE_ENABLED
   fpgacfg_init();
   keystore_init();
+  ice40mkm_init();
 #endif
 #ifdef TARGET_CRYPTECH_DEV_BRIDGE
   // Blink blue LED for six seconds to not upset the Novena at boot.



More information about the Commits mailing list