[Cryptech-Commits] [core/hash/sha1] branch master updated: Minor change. variable names are easier to read.

git at cryptech.is git at cryptech.is
Tue May 12 11:32:08 UTC 2020


This is an automated email from the git hooks/post-receive script.

joachim at secworks.se pushed a commit to branch master
in repository core/hash/sha1.

The following commit(s) were added to refs/heads/master by this push:
     new cf6e31e  Minor change. variable names are easier to read.
cf6e31e is described below

commit cf6e31e92bedcdd576fd9b08ddeb910e8a62ce00
Author: Joachim Strömbergson <joachim at assured.se>
AuthorDate: Tue May 12 13:30:50 2020 +0200

    Minor change. variable names are easier to read.
---
 src/rtl/sha1_core.v   | 18 +++++++++---------
 src/tb/tb_sha1.v      |  4 ++--
 src/tb/tb_sha1_core.v |  4 ++--
 3 files changed, 13 insertions(+), 13 deletions(-)

diff --git a/src/rtl/sha1_core.v b/src/rtl/sha1_core.v
index 9a311ca..d055467 100644
--- a/src/rtl/sha1_core.v
+++ b/src/rtl/sha1_core.v
@@ -117,8 +117,8 @@ module sha1_core(
   //----------------------------------------------------------------
   reg           digest_init;
   reg           digest_update;
-  reg           state_init;
-  reg           state_update;
+  reg           init_state;
+  reg           update_state;
   reg           first_block;
   reg           ready_flag;
   reg           w_init;
@@ -266,7 +266,7 @@ module sha1_core(
       e_new  = 32'h0;
       a_e_we = 0;
 
-      if (state_init)
+      if (init_state)
         begin
           if (first_block)
             begin
@@ -288,7 +288,7 @@ module sha1_core(
             end
         end
 
-      if (state_update)
+      if (update_state)
         begin
           if (round_ctr_reg <= 19)
             begin
@@ -357,8 +357,8 @@ module sha1_core(
     begin : sha1_ctrl_fsm
       digest_init      = 0;
       digest_update    = 0;
-      state_init       = 0;
-      state_update     = 0;
+      init_state       = 0;
+      update_state     = 0;
       first_block      = 0;
       ready_flag       = 0;
       w_init           = 0;
@@ -379,7 +379,7 @@ module sha1_core(
               begin
                 digest_init      = 1;
                 w_init           = 1;
-                state_init       = 1;
+                init_state       = 1;
                 first_block      = 1;
                 round_ctr_rst    = 1;
                 digest_valid_new = 0;
@@ -391,7 +391,7 @@ module sha1_core(
             if (next)
               begin
                 w_init           = 1;
-                state_init       = 1;
+                init_state       = 1;
                 round_ctr_rst    = 1;
                 digest_valid_new = 0;
                 digest_valid_we  = 1;
@@ -403,7 +403,7 @@ module sha1_core(
 
         CTRL_ROUNDS:
           begin
-            state_update  = 1;
+            update_state  = 1;
             round_ctr_inc = 1;
             w_next        = 1;
 
diff --git a/src/tb/tb_sha1.v b/src/tb/tb_sha1.v
index f454034..1bc10e8 100644
--- a/src/tb/tb_sha1.v
+++ b/src/tb/tb_sha1.v
@@ -216,8 +216,8 @@ module tb_sha1();
       $display("sha1_ctrl_reg = 0x%01x", dut.core.sha1_ctrl_reg);
       $display("digest_init   = 0x%01x, digest_update = 0x%01x",
                dut.core.digest_init, dut.core.digest_update);
-      $display("state_init    = 0x%01x, state_update  = 0x%01x",
-               dut.core.state_init, dut.core.state_update);
+      $display("init_state    = 0x%01x, update_state  = 0x%01x",
+               dut.core.init_state, dut.core.update_state);
       $display("first_block   = 0x%01x, ready_flag    = 0x%01x, w_init        = 0x%01x",
                dut.core.first_block, dut.core.ready_flag, dut.core.w_init);
       $display("round_ctr_inc = 0x%01x, round_ctr_rst = 0x%01x, round_ctr_reg = 0x%02x",
diff --git a/src/tb/tb_sha1_core.v b/src/tb/tb_sha1_core.v
index 17416f3..ce837a1 100644
--- a/src/tb/tb_sha1_core.v
+++ b/src/tb/tb_sha1_core.v
@@ -134,8 +134,8 @@ module tb_sha1_core();
       $display("sha1_ctrl_reg = 0x%01x", dut.sha1_ctrl_reg);
       $display("digest_init   = 0x%01x, digest_update = 0x%01x",
                dut.digest_init, dut.digest_update);
-      $display("state_init    = 0x%01x, state_update  = 0x%01x",
-               dut.state_init, dut.state_update);
+      $display("init_state    = 0x%01x, update_state  = 0x%01x",
+               dut.init_state, dut.update_state);
       $display("first_block   = 0x%01x, ready_flag    = 0x%01x, w_init        = 0x%01x",
                dut.first_block, dut.ready_flag, dut.w_init);
       $display("round_ctr_inc = 0x%01x, round_ctr_rst = 0x%01x, round_ctr_reg = 0x%02x",

-- 
To stop receiving notification emails like this one, please contact
the administrator of this repository.


More information about the Commits mailing list