[Cryptech-Commits] [core/math/modexpng] 76/92: Cosmetic change to easily switch tests on/off.

git at cryptech.is git at cryptech.is
Sat Mar 14 18:19:55 UTC 2020


This is an automated email from the git hooks/post-receive script.

paul at psgd.org pushed a commit to branch master
in repository core/math/modexpng.

commit c4bee71625c4fc9f15fdd8c6ca6de98fb6131bab
Author: Pavel V. Shatov (Meister) <meisterpaul1 at yandex.ru>
AuthorDate: Tue Jan 21 00:16:39 2020 +0300

    Cosmetic change to easily switch tests on/off.
---
 bench/tb_core_full_512.v | 6 +++---
 1 file changed, 3 insertions(+), 3 deletions(-)

diff --git a/bench/tb_core_full_512.v b/bench/tb_core_full_512.v
index c3a62ab..f17b56c 100644
--- a/bench/tb_core_full_512.v
+++ b/bench/tb_core_full_512.v
@@ -273,7 +273,7 @@ module tb_core_full_512;
         
             sync_clk_bus;           // switch to slow bus clock
             core_set_input;         // write to core input banks
-            
+            /*//*/
             sync_clk;               // switch to fast core clock
             core_set_crt_mode(1);   // enable CRT signing
             core_pulse_next;        // assert 'next' bit for one cycle
@@ -282,7 +282,7 @@ module tb_core_full_512;
             sync_clk_bus;           // switch to slow bus clock
             core_get_output;        // read from core output banks
             core_verify_output;     // check, whether core output matches precomputed known good refrence values
-            
+            /*//*/
             sync_clk;               // switch to fast core clock
             core_set_crt_mode(0);   // disable CRT signing
             core_pulse_next;        // assert 'next' bit for one cycle
@@ -291,6 +291,7 @@ module tb_core_full_512;
             sync_clk_bus;           // switch to slow bus clock
             core_get_output;        // read from core output banks
             core_verify_output;     // check, whether core output matches precomputed known good refrence values
+            /*//*/
         end
     endtask
     
@@ -458,7 +459,6 @@ module tb_core_full_512;
         //
     endtask
     
-    
 
     //
     // _bus_drive()



More information about the Commits mailing list