[Cryptech-Commits] [user/js/keywrap] branch integrate_mkmif updated: Enabled spi observation and can see that SPI interface is alive when pulling init.

git at cryptech.is git at cryptech.is
Fri Nov 23 07:17:50 UTC 2018


This is an automated email from the git hooks/post-receive script.

joachim at secworks.se pushed a commit to branch integrate_mkmif
in repository user/js/keywrap.

The following commit(s) were added to refs/heads/integrate_mkmif by this push:
     new 4e4a87a  Enabled spi observation and can see that SPI interface is alive when pulling init.
4e4a87a is described below

commit 4e4a87a035e98cc8fbcc6d33b9c6009919213a64
Author: Joachim Strömbergson <joachim at secworks.se>
AuthorDate: Fri Nov 23 08:17:37 2018 +0100

    Enabled spi observation and can see that SPI interface is alive when pulling init.
---
 src/tb/tb_keywrap_mkmif.v | 10 ++++++++++
 1 file changed, 10 insertions(+)

diff --git a/src/tb/tb_keywrap_mkmif.v b/src/tb/tb_keywrap_mkmif.v
index 1acce3d..ccb8ff3 100644
--- a/src/tb/tb_keywrap_mkmif.v
+++ b/src/tb/tb_keywrap_mkmif.v
@@ -195,10 +195,14 @@ module tb_keywrap_mkmif();
   task reset_dut;
     begin
       $display("Asserting reset.");
+      $display();
+
       tb_reset_n = 0;
       #(2 * CLK_PERIOD);
       tb_reset_n = 1;
+
       $display("Deasserting reset.");
+      $display();
     end
   endtask // reset_dut
 
@@ -210,10 +214,13 @@ module tb_keywrap_mkmif();
   //----------------------------------------------------------------
   task wait_ready;
     begin
+      #(2 * CLK_PERIOD);
+
       while (!tb_ready)
         #(CLK_PERIOD);
 
       $display("Ready has been set.");
+      $display();
     end
   endtask // wait_ready
 
@@ -229,10 +236,12 @@ module tb_keywrap_mkmif();
       $display("Check that the memory is configured when pulling init.");
       $display();
 
+      show_spi = 1;
       tb_init = 1'h1;
       #(CLK_PERIOD);
       tb_init = 1'h0;
       wait_ready();
+      show_spi = 0;
 
       $display("TEST INIT-MEM END");
       $display("");
@@ -281,6 +290,7 @@ module tb_keywrap_mkmif();
       $display("");
 
       init_sim();
+      reset_dut();
       test_init_mem();
       test_write_status();
 

-- 
To stop receiving notification emails like this one, please contact
the administrator of this repository.


More information about the Commits mailing list