[Cryptech-Commits] [core/pkey/ecdsa384] 01/02: Minor cleanup.

git at cryptech.is git at cryptech.is
Mon Apr 2 11:43:03 UTC 2018


This is an automated email from the git hooks/post-receive script.

meisterpaul1 at yandex.ru pushed a commit to branch fix
in repository core/pkey/ecdsa384.

commit b581d081f169bcc8afaf0072b466faf066736cee
Author: Pavel V. Shatov (Meister) <meisterpaul1 at yandex.ru>
AuthorDate: Sun Apr 1 23:58:31 2018 +0300

    Minor cleanup.
---
 rtl/ecdsa384_wrapper.v | 6 +++---
 1 file changed, 3 insertions(+), 3 deletions(-)

diff --git a/rtl/ecdsa384_wrapper.v b/rtl/ecdsa384_wrapper.v
index 10e7c9c..672be96 100644
--- a/rtl/ecdsa384_wrapper.v
+++ b/rtl/ecdsa384_wrapper.v
@@ -138,8 +138,8 @@ module ecdsa384_wrapper
            //
            case (addr_lsb)
              //
-             ADDR_CONTROL: reg_control <= write_data[1];
-	     ADDR_DUMMY:   reg_dummy   <= write_data[31:0];
+             ADDR_CONTROL: reg_control <= write_data[CONTROL_NEXT_BIT];
+	     ADDR_DUMMY:   reg_dummy   <= write_data;
              //
            endcase
            //
@@ -169,7 +169,7 @@ module ecdsa384_wrapper
    // Register / Core Memory Selector
    //
    reg addr_msb_last;
-   always @(posedge clk) addr_msb_last = addr_msb;
+   always @(posedge clk) addr_msb_last <= addr_msb;
 
    assign read_data = (addr_msb_last == ADDR_MSB_REGS) ? tmp_read_data : read_data_core;
 



More information about the Commits mailing list