[Cryptech-Commits] [core/platform/common] branch core_config_cleanup updated: ECDSA cores.

git at cryptech.is git at cryptech.is
Wed Mar 8 03:21:28 UTC 2017


This is an automated email from the git hooks/post-receive script.

sra at hactrn.net pushed a commit to branch core_config_cleanup
in repository core/platform/common.

The following commit(s) were added to refs/heads/core_config_cleanup by this push:
     new 01b2384  ECDSA cores.
01b2384 is described below

commit 01b2384a7176013b0b10ed4d01334922c8633ac5
Author: Rob Austein <sra at hactrn.net>
AuthorDate: Tue Mar 7 22:21:07 2017 -0500

    ECDSA cores.
---
 config/core.cfg | 180 +++++++++++++++++++++++++++++---------------------------
 1 file changed, 94 insertions(+), 86 deletions(-)

diff --git a/config/core.cfg b/config/core.cfg
index 3944c5a..a9e586e 100644
--- a/config/core.cfg
+++ b/config/core.cfg
@@ -98,6 +98,14 @@ cores = sha1 sha256 sha512 aes trng modexp mkmif ecdsa256
 # make me one with everything including experimental ecdsa384 core
 cores = sha1 sha256 sha512 aes trng modexp mkmif ecdsa384
 
+[project hsm-ecdsa]
+# make me one with everything including both experimental ecdsa cores
+cores = sha1 sha256 sha512 aes trng modexp mkmif ecdsa256 ecdsa384
+
+[project hsm-super-ecdsa]
+# super-size that too
+cores = mkmif trng sha1 sha1 sha1 sha256 sha256 sha256 sha512 sha512 sha512 aes aes aes modexp modexp modexp ecdsa256 ecdsa256 ecdsa256 ecdsa384 ecdsa384 ecdsa384
+
 # [core] sections
 #
 # vfiles: A list of Verilog files to include in the vfiles list when
@@ -272,49 +280,49 @@ block memory = yes
 error wire = no
 module name = ecdsa256_wrapper
 vfiles =
-	../user/shatov/ecdsa256/rtl/curve/uop_ecdsa.v
-	../user/shatov/ecdsa256/rtl/curve/curve_dbl_add_256.v
-	../user/shatov/ecdsa256/rtl/curve/curve_mul_256.v
-	../user/shatov/ecdsa256/rtl/curve/uop/uop_dbl_rom.v
-	../user/shatov/ecdsa256/rtl/curve/uop/uop_init_rom.v
-	../user/shatov/ecdsa256/rtl/curve/uop/uop_add_rom.v
-	../user/shatov/ecdsa256/rtl/curve/uop/uop_conv_rom.v
-	../user/shatov/ecdsa256/rtl/curve/rom/brom_p256_delta.v
-	../user/shatov/ecdsa256/rtl/curve/rom/brom_p256_one.v
-	../user/shatov/ecdsa256/rtl/curve/rom/brom_p256_h_y.v
-	../user/shatov/ecdsa256/rtl/curve/rom/brom_p256_zero.v
-	../user/shatov/ecdsa256/rtl/curve/rom/brom_p256_q.v
-	../user/shatov/ecdsa256/rtl/curve/rom/brom_p256_g_y.v
-	../user/shatov/ecdsa256/rtl/curve/rom/brom_p256_h_x.v
-	../user/shatov/ecdsa256/rtl/curve/rom/brom_p256_g_x.v
-	../user/shatov/ecdsa256/rtl/lowlevel/subtractor32_wrapper.v
-	../user/shatov/ecdsa256/rtl/lowlevel/ecdsa_lowlevel_settings.v
-	../user/shatov/ecdsa256/rtl/lowlevel/mac16_wrapper.v
-	../user/shatov/ecdsa256/rtl/lowlevel/artix7/adder32_artix7.v
-	../user/shatov/ecdsa256/rtl/lowlevel/artix7/adder47_artix7.v
-	../user/shatov/ecdsa256/rtl/lowlevel/artix7/mac16_artix7.v
-	../user/shatov/ecdsa256/rtl/lowlevel/artix7/subtractor32_artix7.v
-	../user/shatov/ecdsa256/rtl/lowlevel/artix7/dsp48e1_wrapper.v
-	../user/shatov/ecdsa256/rtl/lowlevel/adder32_wrapper.v
-	../user/shatov/ecdsa256/rtl/lowlevel/adder47_wrapper.v
-	../user/shatov/ecdsa256/rtl/ecdsa256.v
-	../user/shatov/ecdsa256/rtl/modular/modular_multiplier_256.v
-	../user/shatov/ecdsa256/rtl/modular/modular_adder.v
-	../user/shatov/ecdsa256/rtl/modular/modular_subtractor.v
-	../user/shatov/ecdsa256/rtl/modular/modular_reductor_256.v
-	../user/shatov/ecdsa256/rtl/modular/modular_invertor/modular_invertor.v
-	../user/shatov/ecdsa256/rtl/modular/modular_invertor/helper/modinv_helper_copy.v
-	../user/shatov/ecdsa256/rtl/modular/modular_invertor/helper/modinv_helper_reduce_precalc.v
-	../user/shatov/ecdsa256/rtl/modular/modular_invertor/helper/modinv_helper_reduce_update.v
-	../user/shatov/ecdsa256/rtl/modular/modular_invertor/helper/modinv_helper_invert_compare.v
-	../user/shatov/ecdsa256/rtl/modular/modular_invertor/helper/modinv_helper_init.v
-	../user/shatov/ecdsa256/rtl/modular/modular_invertor/helper/modinv_helper_invert_update.v
-	../user/shatov/ecdsa256/rtl/modular/modular_invertor/helper/modinv_helper_invert_precalc.v
-	../user/shatov/ecdsa256/rtl/modular/modular_invertor/modinv_clog2.v
-	../user/shatov/ecdsa256/rtl/util/bram_1rw_1ro_readfirst.v
-	../user/shatov/ecdsa256/rtl/multiword/mw_mover.v
-	../user/shatov/ecdsa256/rtl/multiword/mw_comparator.v
-	../user/shatov/ecdsa256/rtl/ecdsa256_wrapper.v
+	pkey/ecdsa256/rtl/curve/curve_dbl_add_256.v
+	pkey/ecdsa256/rtl/curve/curve_mul_256.v
+	pkey/ecdsa256/rtl/curve/rom/brom_p256_delta.v
+	pkey/ecdsa256/rtl/curve/rom/brom_p256_g_x.v
+	pkey/ecdsa256/rtl/curve/rom/brom_p256_g_y.v
+	pkey/ecdsa256/rtl/curve/rom/brom_p256_h_x.v
+	pkey/ecdsa256/rtl/curve/rom/brom_p256_h_y.v
+	pkey/ecdsa256/rtl/curve/rom/brom_p256_one.v
+	pkey/ecdsa256/rtl/curve/rom/brom_p256_q.v
+	pkey/ecdsa256/rtl/curve/rom/brom_p256_zero.v
+	pkey/ecdsa256/rtl/ecdsa256.v
+	pkey/ecdsa256/rtl/ecdsa256_wrapper.v
+	pkey/ecdsa256/rtl/modular/modular_multiplier_256.v
+	pkey/ecdsa256/rtl/modular/modular_reductor_256.v
+	math/ecdsalib/rtl/curve/uop_ecdsa.v
+	math/ecdsalib/rtl/curve/uop/uop_add_rom.v
+	math/ecdsalib/rtl/curve/uop/uop_conv_rom.v
+	math/ecdsalib/rtl/curve/uop/uop_dbl_rom.v
+	math/ecdsalib/rtl/curve/uop/uop_init_rom.v
+	math/ecdsalib/rtl/lowlevel/adder32_wrapper.v
+	math/ecdsalib/rtl/lowlevel/adder47_wrapper.v
+	math/ecdsalib/rtl/lowlevel/artix7/adder32_artix7.v
+	math/ecdsalib/rtl/lowlevel/artix7/adder47_artix7.v
+	math/ecdsalib/rtl/lowlevel/artix7/dsp48e1_wrapper.v
+	math/ecdsalib/rtl/lowlevel/artix7/mac16_artix7.v
+	math/ecdsalib/rtl/lowlevel/artix7/subtractor32_artix7.v
+	math/ecdsalib/rtl/lowlevel/ecdsa_lowlevel_settings.v
+	math/ecdsalib/rtl/lowlevel/mac16_wrapper.v
+	math/ecdsalib/rtl/lowlevel/subtractor32_wrapper.v
+	math/ecdsalib/rtl/modular/modular_adder.v
+	math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_copy.v
+	math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_init.v
+	math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_invert_compare.v
+	math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_invert_precalc.v
+	math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_invert_update.v
+	math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_reduce_precalc.v
+	math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_reduce_update.v
+	math/ecdsalib/rtl/modular/modular_invertor/modinv_clog2.v
+	math/ecdsalib/rtl/modular/modular_invertor/modular_invertor.v
+	math/ecdsalib/rtl/modular/modular_subtractor.v
+	math/ecdsalib/rtl/multiword/mw_comparator.v
+	math/ecdsalib/rtl/multiword/mw_mover.v
+	math/ecdsalib/rtl/util/bram_1rw_1ro_readfirst.v
 
 [core ecdsa384]
 # ECDSA-P384 point multipler
@@ -324,46 +332,46 @@ block memory = yes
 error wire = no
 module name = ecdsa384_wrapper
 vfiles =
-	../user/shatov/ecdsa384/rtl/curve/uop/uop_conv_rom.v
-	../user/shatov/ecdsa384/rtl/curve/uop/uop_add_rom.v
-	../user/shatov/ecdsa384/rtl/curve/uop/uop_init_rom.v
-	../user/shatov/ecdsa384/rtl/curve/uop/uop_dbl_rom.v
-	../user/shatov/ecdsa384/rtl/curve/curve_mul_384.v
-	../user/shatov/ecdsa384/rtl/curve/rom/brom_p384_q.v
-	../user/shatov/ecdsa384/rtl/curve/rom/brom_p384_h_x.v
-	../user/shatov/ecdsa384/rtl/curve/rom/brom_p384_h_y.v
-	../user/shatov/ecdsa384/rtl/curve/rom/brom_p384_g_x.v
-	../user/shatov/ecdsa384/rtl/curve/rom/brom_p384_g_y.v
-	../user/shatov/ecdsa384/rtl/curve/rom/brom_p384_one.v
-	../user/shatov/ecdsa384/rtl/curve/rom/brom_p384_delta.v
-	../user/shatov/ecdsa384/rtl/curve/rom/brom_p384_zero.v
-	../user/shatov/ecdsa384/rtl/curve/uop_ecdsa.v
-	../user/shatov/ecdsa384/rtl/curve/curve_dbl_add_384.v
-	../user/shatov/ecdsa384/rtl/multiword/mw_comparator.v
-	../user/shatov/ecdsa384/rtl/multiword/mw_mover.v
-	../user/shatov/ecdsa384/rtl/util/bram_1rw_1ro_readfirst.v
-	../user/shatov/ecdsa384/rtl/modular/modular_adder.v
-	../user/shatov/ecdsa384/rtl/modular/modular_multiplier_384.v
-	../user/shatov/ecdsa384/rtl/modular/modular_subtractor.v
-	../user/shatov/ecdsa384/rtl/modular/modular_invertor/helper/modinv_helper_invert_update.v
-	../user/shatov/ecdsa384/rtl/modular/modular_invertor/helper/modinv_helper_reduce_update.v
-	../user/shatov/ecdsa384/rtl/modular/modular_invertor/helper/modinv_helper_copy.v
-	../user/shatov/ecdsa384/rtl/modular/modular_invertor/helper/modinv_helper_invert_precalc.v
-	../user/shatov/ecdsa384/rtl/modular/modular_invertor/helper/modinv_helper_init.v
-	../user/shatov/ecdsa384/rtl/modular/modular_invertor/helper/modinv_helper_reduce_precalc.v
-	../user/shatov/ecdsa384/rtl/modular/modular_invertor/helper/modinv_helper_invert_compare.v
-	../user/shatov/ecdsa384/rtl/modular/modular_invertor/modular_invertor.v
-	../user/shatov/ecdsa384/rtl/modular/modular_invertor/modinv_clog2.v
-	../user/shatov/ecdsa384/rtl/modular/modular_reductor_384.v
-	../user/shatov/ecdsa384/rtl/ecdsa384.v
-	../user/shatov/ecdsa384/rtl/lowlevel/ecdsa_lowlevel_settings.v
-	../user/shatov/ecdsa384/rtl/lowlevel/mac16_wrapper.v
-	../user/shatov/ecdsa384/rtl/lowlevel/subtractor32_wrapper.v
-	../user/shatov/ecdsa384/rtl/lowlevel/adder47_wrapper.v
-	../user/shatov/ecdsa384/rtl/lowlevel/adder32_wrapper.v
-	../user/shatov/ecdsa384/rtl/lowlevel/artix7/adder32_artix7.v
-	../user/shatov/ecdsa384/rtl/lowlevel/artix7/adder47_artix7.v
-	../user/shatov/ecdsa384/rtl/lowlevel/artix7/subtractor32_artix7.v
-	../user/shatov/ecdsa384/rtl/lowlevel/artix7/mac16_artix7.v
-	../user/shatov/ecdsa384/rtl/lowlevel/artix7/dsp48e1_wrapper.v
-	../user/shatov/ecdsa384/rtl/ecdsa384_wrapper.v
+	pkey/ecdsa384/rtl/curve/curve_dbl_add_384.v
+	pkey/ecdsa384/rtl/curve/curve_mul_384.v
+	pkey/ecdsa384/rtl/curve/rom/brom_p384_delta.v
+	pkey/ecdsa384/rtl/curve/rom/brom_p384_g_x.v
+	pkey/ecdsa384/rtl/curve/rom/brom_p384_g_y.v
+	pkey/ecdsa384/rtl/curve/rom/brom_p384_h_x.v
+	pkey/ecdsa384/rtl/curve/rom/brom_p384_h_y.v
+	pkey/ecdsa384/rtl/curve/rom/brom_p384_one.v
+	pkey/ecdsa384/rtl/curve/rom/brom_p384_q.v
+	pkey/ecdsa384/rtl/curve/rom/brom_p384_zero.v
+	pkey/ecdsa384/rtl/ecdsa384.v
+	pkey/ecdsa384/rtl/ecdsa384_wrapper.v
+	pkey/ecdsa384/rtl/modular/modular_multiplier_384.v
+	pkey/ecdsa384/rtl/modular/modular_reductor_384.v
+	math/ecdsalib/rtl/curve/uop_ecdsa.v
+	math/ecdsalib/rtl/curve/uop/uop_add_rom.v
+	math/ecdsalib/rtl/curve/uop/uop_conv_rom.v
+	math/ecdsalib/rtl/curve/uop/uop_dbl_rom.v
+	math/ecdsalib/rtl/curve/uop/uop_init_rom.v
+	math/ecdsalib/rtl/lowlevel/adder32_wrapper.v
+	math/ecdsalib/rtl/lowlevel/adder47_wrapper.v
+	math/ecdsalib/rtl/lowlevel/artix7/adder32_artix7.v
+	math/ecdsalib/rtl/lowlevel/artix7/adder47_artix7.v
+	math/ecdsalib/rtl/lowlevel/artix7/dsp48e1_wrapper.v
+	math/ecdsalib/rtl/lowlevel/artix7/mac16_artix7.v
+	math/ecdsalib/rtl/lowlevel/artix7/subtractor32_artix7.v
+	math/ecdsalib/rtl/lowlevel/ecdsa_lowlevel_settings.v
+	math/ecdsalib/rtl/lowlevel/mac16_wrapper.v
+	math/ecdsalib/rtl/lowlevel/subtractor32_wrapper.v
+	math/ecdsalib/rtl/modular/modular_adder.v
+	math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_copy.v
+	math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_init.v
+	math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_invert_compare.v
+	math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_invert_precalc.v
+	math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_invert_update.v
+	math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_reduce_precalc.v
+	math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_reduce_update.v
+	math/ecdsalib/rtl/modular/modular_invertor/modinv_clog2.v
+	math/ecdsalib/rtl/modular/modular_invertor/modular_invertor.v
+	math/ecdsalib/rtl/modular/modular_subtractor.v
+	math/ecdsalib/rtl/multiword/mw_comparator.v
+	math/ecdsalib/rtl/multiword/mw_mover.v
+	math/ecdsalib/rtl/util/bram_1rw_1ro_readfirst.v

-- 
To stop receiving notification emails like this one, please contact
the administrator of this repository.


More information about the Commits mailing list