[Cryptech-Commits] [test/novena_base] 01/01: Adding pins for the LEDs on the Cryptech avalanche noise board.

git at cryptech.is git at cryptech.is
Thu Feb 5 19:10:46 UTC 2015


This is an automated email from the git hooks/post-receive script.

joachim at secworks.se pushed a commit to branch master
in repository test/novena_base.

commit 8a833127969b18f98cdb0bde25551d30ae8b1a0a
Author: Joachim Strömbergson <joachim at secworks.se>
Date:   Thu Feb 5 20:10:21 2015 +0100

    Adding pins for the LEDs on the Cryptech avalanche noise board.
---
 rtl/src/ucf/novena_baseline.ucf | 12 ++++++++++++
 1 file changed, 12 insertions(+)

diff --git a/rtl/src/ucf/novena_baseline.ucf b/rtl/src/ucf/novena_baseline.ucf
index 7902eb7..b0b5888 100644
--- a/rtl/src/ucf/novena_baseline.ucf
+++ b/rtl/src/ucf/novena_baseline.ucf
@@ -92,6 +92,18 @@ NET  "eim_oe_n"        LOC = "C10" | IOSTANDARD = "LVCMOS33" ;
 NET  "eim_wait_n"      LOC = "A7"  | IOSTANDARD = "LVCMOS33" | SLEW = "FAST" | DRIVE = 12 ;
 
 
+# Pins to the header where the LEDs on the Cryptech
+# Avalanche Noise Board are connected.
+NET "ct_nb_led[0]" LOC = K6 | IOSTANDARD = LVCMOS33 | SLEW = SLOW;
+NET "ct_nb_led[1]" LOC = H4 | IOSTANDARD = LVCMOS33 | SLEW = SLOW;
+NET "ct_nb_led[2]" LOC = H3 | IOSTANDARD = LVCMOS33 | SLEW = SLOW;
+NET "ct_nb_led[3]" LOC = M1 | IOSTANDARD = LVCMOS33 | SLEW = SLOW;
+NET "ct_nb_led[4]" LOC = L7 | IOSTANDARD = LVCMOS33 | SLEW = SLOW;
+NET "ct_nb_led[5]" LOC = G1 | IOSTANDARD = LVCMOS33 | SLEW = SLOW;
+NET "ct_nb_led[6]" LOC = T2 | IOSTANDARD = LVCMOS33 | SLEW = SLOW;
+NET "ct_nb_led[7]" LOC = H7 | IOSTANDARD = LVCMOS33 | SLEW = SLOW;
+
+
 #-------------------------------------------------------------------------------
 # EIM Input Timing
 #-------------------------------------------------------------------------------



More information about the Commits mailing list