[Cryptech-Commits] [core/platform/novena] 01/01: Unify eim and i2c memory map, unify apps, build libraries.

git at cryptech.is git at cryptech.is
Tue Apr 21 17:04:39 UTC 2015


This is an automated email from the git hooks/post-receive script.

paul at psgd.org pushed a commit to branch unimap
in repository core/platform/novena.

commit 2de0824f026172dafe9f83564c66df12d5f1da64
Author: Paul Selkirk <paul at psgd.org>
Date:   Tue Apr 21 12:36:44 2015 -0400

    Unify eim and i2c memory map, unify apps, build libraries.
---
 eim/sw/Makefile                                    |  31 -
 eim/sw/tc_eim.h                                    |  50 --
 i2c/sw/Makefile                                    |  29 -
 i2c/sw/cryptech_memory_map.h                       | 217 -------
 i2c/sw/hash_i2c.c                                  | 288 ----------
 i2c/sw/hash_tester_i2c.c                           | 634 ---------------------
 i2c/sw/tc_i2c.h                                    |  55 --
 i2c/sw/trng_extractor_i2c.c                        | 169 ------
 i2c/sw/trng_tester_i2c.c                           | 321 -----------
 sw/Makefile                                        |  44 ++
 sw/Makefile.i2c                                    |  44 ++
 eim/sw/cryptech_memory_map.h => sw/cryptech.h      | 138 +++--
 eim/sw/hash_eim.c => sw/hash.c                     |   6 +-
 eim/sw/hash_tester_eim.c => sw/hash_tester.c       |   6 +-
 {eim/sw => sw}/novena-eim.c                        |   0
 {eim/sw => sw}/novena-eim.h                        |   0
 {eim/sw => sw}/tc_eim.c                            |  27 +-
 {i2c/sw => sw}/tc_i2c.c                            |  10 +-
 eim/sw/trng_extractor_eim.c => sw/trng_extractor.c |   5 +-
 eim/sw/trng_tester_eim.c => sw/trng_tester.c       |   4 +-
 20 files changed, 203 insertions(+), 1875 deletions(-)

diff --git a/eim/sw/Makefile b/eim/sw/Makefile
deleted file mode 100755
index 8b5f974..0000000
--- a/eim/sw/Makefile
+++ /dev/null
@@ -1,31 +0,0 @@
-all: hash_tester_eim trng_tester_eim hash_eim trng_extractor_eim
-
-.c.o:
-	gcc -c -Wall -o $@ $<
-
-hash_tester_eim: hash_tester_eim.o novena-eim.o tc_eim.o
-	gcc -o $@ $^
-
-hash_tester_eim.o: hash_tester_eim.c tc_eim.h cryptech_memory_map.h
-
-trng_tester_eim: trng_tester_eim.o novena-eim.o tc_eim.o
-	gcc -o $@ $^
-
-trng_tester_eim.o: trng_tester_eim.c tc_eim.h cryptech_memory_map.h
-
-hash_eim: hash_eim.o novena-eim.o tc_eim.o
-	gcc -o $@ $^
-
-hash_eim.o: hash_eim.c tc_eim.h
-
-trng_extractor_eim: trng_extractor_eim.o novena-eim.o tc_eim.o
-	gcc -o $@ $^
-
-trng_extractor_eim.o: trng_extractor_eim.c tc_eim.h cryptech_memory_map.h
-
-novena-eim.o: novena-eim.c novena-eim.h
-
-tc_eim.o: tc_eim.c tc_eim.h novena-eim.h
-
-clean:
-	rm -f *.o hash_tester_eim trng_tester_eim hash_eim trng_extractor_eim
diff --git a/eim/sw/tc_eim.h b/eim/sw/tc_eim.h
deleted file mode 100644
index 5da18e4..0000000
--- a/eim/sw/tc_eim.h
+++ /dev/null
@@ -1,50 +0,0 @@
-/* 
- * tc_eim.h
- * --------
- * This module contains common code to talk to the FPGA over the EIM bus.
- * 
- * Author: Paul Selkirk
- * Copyright (c) 2014-2015, NORDUnet A/S All rights reserved.
- * 
- * Redistribution and use in source and binary forms, with or without
- * modification, are permitted provided that the following conditions are
- * met:
- * - Redistributions of source code must retain the above copyright notice,
- *   this list of conditions and the following disclaimer.
- *
- * - Redistributions in binary form must reproduce the above copyright
- *   notice, this list of conditions and the following disclaimer in the
- *   documentation and/or other materials provided with the distribution.
- *
- * - Neither the name of the NORDUnet nor the names of its contributors may
- *   be used to endorse or promote products derived from this software
- *   without specific prior written permission.
- *
- * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
- * IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
- * TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
- * PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
- * HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
- * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
- * TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
- * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
- * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
- * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
- * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- */
-
-#include "novena-eim.h"
-#define BASE_ADDR               EIM_BASE_ADDR
-#define SEGMENT_SIZE            0x10000
-#define ADDR(x)                 (x << 2)
-#include "cryptech_memory_map.h"
-
-/* test case public functions */
-int tc_write(off_t offset, const uint8_t *buf, size_t len);
-int tc_read(off_t offset, uint8_t *buf, size_t len);
-int tc_expected(off_t offset, const uint8_t *expected, size_t len);
-int tc_init(off_t offset);
-int tc_next(off_t offset);
-int tc_wait(off_t offset, uint8_t status, int *count);
-int tc_wait_ready(off_t offset);
-int tc_wait_valid(off_t offset);
diff --git a/i2c/sw/Makefile b/i2c/sw/Makefile
deleted file mode 100755
index 9869e04..0000000
--- a/i2c/sw/Makefile
+++ /dev/null
@@ -1,29 +0,0 @@
-all: hash_tester_i2c trng_tester_i2c hash_i2c trng_extractor_i2c
-
-.c.o:
-	gcc -c -Wall -o $@ $<
-
-hash_tester_i2c: hash_tester_i2c.o tc_i2c.o
-	gcc -o $@ $^
-
-hash_tester_i2c.o: hash_tester_i2c.c tc_i2c.h
-
-trng_tester_i2c: trng_tester_i2c.o tc_i2c.o
-	gcc -o $@ $^
-
-trng_tester_i2c.o: trng_tester_i2c.c tc_i2c.h
-
-hash_i2c: hash_i2c.o tc_i2c.o
-	gcc -o $@ $^
-
-hash_i2c.o: hash_i2c.c tc_i2c.h
-
-trng_extractor_i2c: trng_extractor_i2c.o tc_i2c.o
-	gcc -o $@ $^
-
-trng_extractor_i2c.o: trng_extractor_i2c.c tc_i2c.h
-
-tc_i2c.o: tc_i2c.c tc_i2c.h
-
-clean:
-	rm -f *.o hash_tester_i2c trng_tester_i2c hash_i2c trng_extractor_i2c
diff --git a/i2c/sw/cryptech_memory_map.h b/i2c/sw/cryptech_memory_map.h
deleted file mode 100644
index 5cf7f42..0000000
--- a/i2c/sw/cryptech_memory_map.h
+++ /dev/null
@@ -1,217 +0,0 @@
-//======================================================================
-//
-// cryptech_memory_map.h
-// ---------------------
-// The memory map for Cryptech cores.
-//
-//
-// Authors: Joachim Strombergson, Paul Selkirk
-// Copyright (c) 2015, NORDUnet A/S All rights reserved.
-//
-// Redistribution and use in source and binary forms, with or without
-// modification, are permitted provided that the following conditions are
-// met:
-// - Redistributions of source code must retain the above copyright notice,
-//   this list of conditions and the following disclaimer.
-//
-// - Redistributions in binary form must reproduce the above copyright
-//   notice, this list of conditions and the following disclaimer in the
-//   documentation and/or other materials provided with the distribution.
-//
-// - Neither the name of the NORDUnet nor the names of its contributors may
-//   be used to endorse or promote products derived from this software
-//   without specific prior written permission.
-//
-// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
-// IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
-// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-// PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
-// HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-// SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
-// TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
-// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
-// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
-// NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-//
-//======================================================================
-
-// BASE_ADDR, SEGMENT_SIZE, and ADDR are defined in tc_[eim|i2c].h,
-// which #includes this file.
-
-// default definitions from i2c, because defaults are good
-#ifndef BASE_ADDR
-#define BASE_ADDR               0
-#endif
-#ifndef SEGMENT_SIZE
-#define SEGMENT_SIZE            0x2000
-#endif
-#ifndef ADDR
-#define ADDR(x)                 (x)
-#endif
-
-#ifndef bitsToBytes
-#define bitsToBytes(x)          (x / 8)
-#endif
-
-// Segments.
-#define SEGMENT_OFFSET_GLOBALS  BASE_ADDR + (0 * SEGMENT_SIZE)
-#define SEGMENT_OFFSET_HASHES   BASE_ADDR + (1 * SEGMENT_SIZE)
-#define SEGMENT_OFFSET_RNGS     BASE_ADDR + (2 * SEGMENT_SIZE)
-#define SEGMENT_OFFSET_CIPHERS  BASE_ADDR + (3 * SEGMENT_SIZE)
-
-
-// addresses and codes common to all cores
-#define ADDR_NAME0              ADDR(0x00)
-#define ADDR_NAME1              ADDR(0x01)
-#define ADDR_VERSION            ADDR(0x02)
-
-
-//------------------------------------------------------------------
-// Board segment.
-// Board-level registers and communication channel registers
-//------------------------------------------------------------------
-#define BOARD_CORE_SIZE         ADDR(0x100)
-
-#define BOARD_ADDR_BASE         SEGMENT_OFFSET_GLOBALS + (0 * BOARD_CORE_SIZE)
-#define BOARD_ADDR_NAME0        BOARD_ADDR_BASE + ADDR_NAME0
-#define BOARD_ADDR_NAME1        BOARD_ADDR_BASE + ADDR_NAME1
-#define BOARD_ADDR_VERSION      BOARD_ADDR_BASE + ADDR_VERSION
-#define BOARD_ADDR_DUMMY        BOARD_ADDR_BASE + ADDR(0xFF)
-
-#define COMM_ADDR_BASE          SEGMENT_OFFSET_GLOBALS + (1 * BOARD_CORE_SIZE)
-#define COMM_ADDR_NAME0         COMM_ADDR_BASE + ADDR_NAME0
-#define COMM_ADDR_NAME1         COMM_ADDR_BASE + ADDR_NAME1
-#define COMM_ADDR_VERSION       COMM_ADDR_BASE + ADDR_VERSION
-
-
-//------------------------------------------------------------------
-// Hashes segment.
-//------------------------------------------------------------------
-#define HASH_CORE_SIZE          ADDR(0x100)
-
-// addresses and codes common to all hash cores */
-#define ADDR_CTRL               ADDR(0x8)
-#define CTRL_INIT_CMD           1
-#define CTRL_NEXT_CMD           2
-#define ADDR_STATUS             ADDR(0x9)
-#define STATUS_READY_BIT        1
-#define STATUS_VALID_BIT        2
-#define ADDR_BLOCK              ADDR(0x10)
-#define ADDR_DIGEST             ADDR(0x20)      // except SHA512
-
-// addresses and codes for the specific hash cores.
-#define SHA1_ADDR_BASE          SEGMENT_OFFSET_HASHES + (0 * HASH_CORE_SIZE)
-#define SHA1_ADDR_NAME0         SHA1_ADDR_BASE + ADDR_NAME0
-#define SHA1_ADDR_NAME1         SHA1_ADDR_BASE + ADDR_NAME1
-#define SHA1_ADDR_VERSION       SHA1_ADDR_BASE + ADDR_VERSION
-#define SHA1_ADDR_CTRL          SHA1_ADDR_BASE + ADDR_CTRL
-#define SHA1_ADDR_STATUS        SHA1_ADDR_BASE + ADDR_STATUS
-#define SHA1_ADDR_BLOCK         SHA1_ADDR_BASE + ADDR_BLOCK
-#define SHA1_ADDR_DIGEST        SHA1_ADDR_BASE + ADDR_DIGEST
-#define SHA1_BLOCK_LEN          bitsToBytes(512)
-#define SHA1_LENGTH_LEN         bitsToBytes(64)
-#define SHA1_DIGEST_LEN         bitsToBytes(160)
-
-#define SHA256_ADDR_BASE        SEGMENT_OFFSET_HASHES + (1 * HASH_CORE_SIZE)
-#define SHA256_ADDR_NAME0       SHA256_ADDR_BASE + ADDR_NAME0
-#define SHA256_ADDR_NAME1       SHA256_ADDR_BASE + ADDR_NAME1
-#define SHA256_ADDR_VERSION     SHA256_ADDR_BASE + ADDR_VERSION
-#define SHA256_ADDR_CTRL        SHA256_ADDR_BASE + ADDR_CTRL
-#define SHA256_ADDR_STATUS      SHA256_ADDR_BASE + ADDR_STATUS
-#define SHA256_ADDR_BLOCK       SHA256_ADDR_BASE + ADDR_BLOCK
-#define SHA256_ADDR_DIGEST      SHA256_ADDR_BASE + ADDR_DIGEST
-#define SHA256_BLOCK_LEN        bitsToBytes(512)
-#define SHA256_LENGTH_LEN       bitsToBytes(64)
-#define SHA256_DIGEST_LEN       bitsToBytes(256)
-
-#define SHA512_ADDR_BASE        SEGMENT_OFFSET_HASHES + (2 * HASH_CORE_SIZE)
-#define SHA512_ADDR_NAME0       SHA512_ADDR_BASE + ADDR_NAME0
-#define SHA512_ADDR_NAME1       SHA512_ADDR_BASE + ADDR_NAME1
-#define SHA512_ADDR_VERSION     SHA512_ADDR_BASE + ADDR_VERSION
-#define SHA512_ADDR_CTRL        SHA512_ADDR_BASE + ADDR_CTRL
-#define SHA512_ADDR_STATUS      SHA512_ADDR_BASE + ADDR_STATUS
-#define SHA512_ADDR_BLOCK       SHA512_ADDR_BASE + ADDR_BLOCK
-#define SHA512_ADDR_DIGEST      SHA512_ADDR_BASE + ADDR(0x40)
-#define SHA512_BLOCK_LEN        bitsToBytes(1024)
-#define SHA512_LENGTH_LEN       bitsToBytes(128)
-#define SHA512_224_DIGEST_LEN   bitsToBytes(224)
-#define SHA512_256_DIGEST_LEN   bitsToBytes(256)
-#define SHA384_DIGEST_LEN       bitsToBytes(384)
-#define SHA512_DIGEST_LEN       bitsToBytes(512)
-#define MODE_SHA_512_224        0 << 2
-#define MODE_SHA_512_256        1 << 2
-#define MODE_SHA_384            2 << 2
-#define MODE_SHA_512            3 << 2
-
-
-// -----------------------------------------------------------------
-// TRNG segment.
-// -----------------------------------------------------------------
-#define TRNG_CORE_SIZE          ADDR(0x100)
-
-// addresses and codes for the TRNG cores */
-#define TRNG_ADDR_BASE          SEGMENT_OFFSET_RNGS + (0 * TRNG_CORE_SIZE)
-#define TRNG_ADDR_NAME0         TRNG_ADDR_BASE + ADDR_NAME0
-#define TRNG_ADDR_NAME1         TRNG_ADDR_BASE + ADDR_NAME1
-#define TRNG_ADDR_VERSION       TRNG_ADDR_BASE + ADDR_VERSION
-#define TRNG_ADDR_CTRL          TRNG_ADDR_BASE + ADDR(0x10)
-#define TRNG_CTRL_DISCARD       1
-#define TRNG_CTRL_TEST_MODE     2
-#define TRNG_ADDR_STATUS        TRNG_ADDR_BASE + ADDR(0x11)
-// no status bits defined (yet)
-#define TRNG_ADDR_DELAY         TRNG_ADDR_BASE + ADDR(0x13)
-
-#define ENTROPY1_ADDR_BASE      SEGMENT_OFFSET_RNGS + (5 * TRNG_CORE_SIZE)
-#define ENTROPY1_ADDR_NAME0     ENTROPY1_ADDR_BASE + ADDR_NAME0
-#define ENTROPY1_ADDR_NAME1     ENTROPY1_ADDR_BASE + ADDR_NAME1
-#define ENTROPY1_ADDR_VERSION   ENTROPY1_ADDR_BASE + ADDR_VERSION
-#define ENTROPY1_ADDR_CTRL      ENTROPY1_ADDR_BASE + ADDR(0x10)
-#define ENTROPY1_CTRL_ENABLE    1
-#define ENTROPY1_ADDR_STATUS    ENTROPY1_ADDR_BASE + ADDR(0x11)
-#define ENTROPY1_STATUS_VALID   1
-#define ENTROPY1_ADDR_ENTROPY   ENTROPY1_ADDR_BASE + ADDR(0x20)
-#define ENTROPY1_ADDR_DELTA     ENTROPY1_ADDR_BASE + ADDR(0x30)
-
-#define ENTROPY2_ADDR_BASE      SEGMENT_OFFSET_RNGS + (6 * TRNG_CORE_SIZE)
-#define ENTROPY2_ADDR_NAME0     ENTROPY2_ADDR_BASE + ADDR_NAME0
-#define ENTROPY2_ADDR_NAME1     ENTROPY2_ADDR_BASE + ADDR_NAME1
-#define ENTROPY2_ADDR_VERSION   ENTROPY2_ADDR_BASE + ADDR_VERSION
-#define ENTROPY2_ADDR_CTRL      ENTROPY2_ADDR_BASE + ADDR(0x10)
-#define ENTROPY2_CTRL_ENABLE    1
-#define ENTROPY2_ADDR_STATUS    ENTROPY2_ADDR_BASE + ADDR(0x11)
-#define ENTROPY2_STATUS_VALID   1
-#define ENTROPY2_ADDR_OPA       ENTROPY2_ADDR_BASE + ADDR(0x18)
-#define ENTROPY2_ADDR_OPB       ENTROPY2_ADDR_BASE + ADDR(0x19)
-#define ENTROPY2_ADDR_ENTROPY   ENTROPY2_ADDR_BASE + ADDR(0x20)
-#define ENTROPY2_ADDR_RAW       ENTROPY2_ADDR_BASE + ADDR(0x21)
-#define ENTROPY2_ADDR_ROSC      ENTROPY2_ADDR_BASE + ADDR(0x22)
-
-#define MIXER_ADDR_BASE         SEGMENT_OFFSET_RNGS + (0x0a * TRNG_CORE_SIZE)
-#define MIXER_ADDR_NAME0        MIXER_ADDR_BASE + ADDR_NAME0
-#define MIXER_ADDR_NAME1        MIXER_ADDR_BASE + ADDR_NAME1
-#define MIXER_ADDR_VERSION      MIXER_ADDR_BASE + ADDR_VERSION
-#define MIXER_ADDR_CTRL         MIXER_ADDR_BASE + ADDR(0x10)
-#define MIXER_CTRL_ENABLE       1
-#define MIXER_CTRL_RESTART      2
-#define MIXER_ADDR_STATUS       MIXER_ADDR_BASE + ADDR(0x11)
-// no status bits defined (yet)
-#define MIXER_ADDR_TIMEOUT      MIXER_ADDR_BASE + ADDR(0x20)
-
-#define CSPRNG_ADDR_BASE        SEGMENT_OFFSET_RNGS + (0x0b * TRNG_CORE_SIZE)
-#define CSPRNG_ADDR_NAME0       CSPRNG_ADDR_BASE + ADDR_NAME0
-#define CSPRNG_ADDR_NAME1       CSPRNG_ADDR_BASE + ADDR_NAME1
-#define CSPRNG_ADDR_VERSION     CSPRNG_ADDR_BASE + ADDR_VERSION
-#define CSPRNG_ADDR_CTRL        CSPRNG_ADDR_BASE + ADDR(0x10)
-#define CSPRNG_CTRL_ENABLE      1
-#define CSPRNG_CTRL_SEED        2
-#define CSPRNG_ADDR_STATUS      CSPRNG_ADDR_BASE + ADDR(0x11)
-#define CSPRNG_STATUS_VALID     1
-#define CSPRNG_ADDR_RANDOM      CSPRNG_ADDR_BASE + ADDR(0x20)
-#define CSPRNG_ADDR_NROUNDS     CSPRNG_ADDR_BASE + ADDR(0x40)
-#define CSPRNG_ADDR_NBLOCKS_LO  CSPRNG_ADDR_BASE + ADDR(0x41)
-#define CSPRNG_ADDR_NBLOCKS_HI  CSPRNG_ADDR_BASE + ADDR(0x42)
-
-//======================================================================
-// EOF cryptech_memory_map.h
-//======================================================================
diff --git a/i2c/sw/hash_i2c.c b/i2c/sw/hash_i2c.c
deleted file mode 100644
index 3a9f0cf..0000000
--- a/i2c/sw/hash_i2c.c
+++ /dev/null
@@ -1,288 +0,0 @@
-/*
- * hash.c
- * ------
- * This program uses the coretest_hashes subsystem to produce a
- * cryptographic hash of a file or input stream. It is a generalization
- * of the hash_tester.c test program.
- *
- * Authors: Joachim Strömbergson, Paul Selkirk
- * Copyright (c) 2014-2015, NORDUnet A/S All rights reserved.
- *
- * Redistribution and use in source and binary forms, with or without
- * modification, are permitted provided that the following conditions are
- * met:
- * - Redistributions of source code must retain the above copyright notice,
- *   this list of conditions and the following disclaimer.
- *
- * - Redistributions in binary form must reproduce the above copyright
- *   notice, this list of conditions and the following disclaimer in the
- *   documentation and/or other materials provided with the distribution.
- *
- * - Neither the name of the NORDUnet nor the names of its contributors may
- *   be used to endorse or promote products derived from this software
- *   without specific prior written permission.
- *
- * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
- * IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
- * TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
- * PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
- * HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
- * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
- * TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
- * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
- * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
- * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
- * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- */
-
-#include <string.h>
-#include <stdio.h>
-#include <stdlib.h>
-#include <fcntl.h>
-#include <unistd.h>
-#include <sys/time.h>
-#include <stdint.h>
-#include <arpa/inet.h>
-#include <assert.h>
-
-#include "tc_i2c.h"
-#include "cryptech_memory_map.h"
-
-char *usage =
-"Usage: %s [-d] [-v] [-q] [algorithm [file]]\n"
-"algorithms: sha-1, sha-256, sha-512/224, sha-512/256, sha-384, sha-512\n";
-
-int debug = 0;
-int quiet = 0;
-int verbose = 0;
-
-
-/* ---------------- algorithm lookup code ---------------- */
-
-struct ctrl {
-    char *name;
-    off_t block_addr;
-    int   block_len;
-    off_t digest_addr;
-    int   digest_len;
-    int   mode;
-} ctrl[] = {
-    { "sha-1",       SHA1_ADDR_BLOCK, SHA1_BLOCK_LEN,
-                     SHA1_ADDR_DIGEST, SHA1_DIGEST_LEN, 0 },
-    { "sha-256",     SHA256_ADDR_BLOCK, SHA256_BLOCK_LEN,
-                     SHA256_ADDR_DIGEST, SHA256_DIGEST_LEN, 0 },
-    { "sha-512/224", SHA512_ADDR_BLOCK, SHA512_BLOCK_LEN,
-                     SHA512_ADDR_DIGEST, SHA512_224_DIGEST_LEN, MODE_SHA_512_224 },
-    { "sha-512/256", SHA512_ADDR_BLOCK, SHA512_BLOCK_LEN,
-                     SHA512_ADDR_DIGEST, SHA512_256_DIGEST_LEN, MODE_SHA_512_256 },
-    { "sha-384",     SHA512_ADDR_BLOCK, SHA512_BLOCK_LEN,
-                     SHA512_ADDR_DIGEST, SHA384_DIGEST_LEN, MODE_SHA_384 },
-    { "sha-512",     SHA512_ADDR_BLOCK, SHA512_BLOCK_LEN,
-                     SHA512_ADDR_DIGEST, SHA512_DIGEST_LEN, MODE_SHA_512 },
-    { NULL, 0, 0, 0 }
-};
-
-/* return the control structure for the given algorithm */
-struct ctrl *find_algo(char *algo)
-{
-    int i;
-
-    for (i = 0; ctrl[i].name != NULL; ++i)
-        if (strcmp(ctrl[i].name, algo) == 0)
-            return &ctrl[i];
-
-    fprintf(stderr, "algorithm \"%s\" not found\n\n", algo);
-    fprintf(stderr, usage, "hash");
-    return NULL;
-}
-
-/* ---------------- hash ---------------- */
-
-int transmit(off_t offset, uint8_t *block, int blen, int mode, int first)
-{
-    off_t base = offset & ~(0x1ff);
-    uint8_t ctrl_cmd[4] = { 0 };
-
-    if (tc_write(offset, block, blen) != 0)
-        return 1;
-
-    ctrl_cmd[3] = (first ? CTRL_INIT_CMD : CTRL_NEXT_CMD) | mode;
-
-    return
-	tc_write(base + ADDR_CTRL, ctrl_cmd, 4) ||
-	tc_wait_ready(base + ADDR_STATUS);
-}
-
-int pad_transmit(off_t offset, uint8_t *block, uint8_t flen, uint8_t blen,
-                 uint8_t mode, long long tlen, int first)
-{
-    assert(flen < blen);
-
-    block[flen++] = 0x80;
-    memset(block + flen, 0, blen - flen);
-
-    if (blen - flen < ((blen == 64) ? 8 : 16)) {
-        if (transmit(offset, block, blen, mode, first) != 0)
-            return 1;
-        first = 0;
-        memset(block, 0, blen);
-    }
-
-    /* properly the length is 128 bits for sha-512, but we can't
-     * actually count above 64 bits
-     */
-    ((uint32_t *)block)[blen/4 - 2] = htonl((tlen >> 32) & 0xffff);
-    ((uint32_t *)block)[blen/4 - 1] = htonl(tlen & 0xffff);
-
-    return transmit(offset, block, blen, mode, first);
-}
-
-/* return number of digest bytes read */
-int hash(char *algo, char *file, uint8_t *digest)
-{
-    uint8_t block[SHA512_BLOCK_LEN];
-    struct ctrl *ctrl;
-    int in_fd = 0;      /* stdin */
-    off_t baddr, daddr;
-    int blen, dlen, mode;
-    int nblk, nread, first;
-    int ret = -1;
-    struct timeval start, stop, difftime;
-
-    ctrl = find_algo(algo);
-    if (ctrl == NULL)
-        return -1;
-    baddr = ctrl->block_addr;
-    blen = ctrl->block_len;
-    daddr = ctrl->digest_addr;
-    dlen = ctrl->digest_len;
-    mode = ctrl->mode;
-
-    if (strcmp(file, "-") != 0) {
-        in_fd = open(file, O_RDONLY);
-        if (in_fd < 0) {
-            perror("open");
-            return -1;
-        }
-    }
-
-    if (verbose) {
-        if (gettimeofday(&start, NULL) < 0) {
-            perror("gettimeofday");
-            goto out;
-        }
-    }
-
-    for (nblk = 0, first = 1; ; ++nblk, first = 0) {
-        nread = read(in_fd, block, blen);
-        if (nread < 0) {
-            /* read error */
-            perror("read");
-            goto out;
-        }
-        else if (nread < blen) {
-            /* partial read = last block */
-            if (pad_transmit(baddr, block, nread, blen, mode,
-                             (nblk * blen + nread) * 8, first) != 0)
-                goto out;
-            break;
-        }
-        else {
-            /* full block read */
-            if (transmit(baddr, block, blen, mode, first) != 0)
-                goto out;
-        }
-    }
-
-    /* Strictly speaking we should query "valid" status before reading digest,
-     * but transmit() waits for "ready" status before returning, and the SHA
-     * cores always assert valid before ready.
-     */
-    if (tc_read(daddr, digest, dlen) != 0) {
-        perror("i2c read failed");
-        goto out;
-    }
-
-    if (verbose) {
-        if (gettimeofday(&stop, NULL) < 0) {
-            perror("gettimeofday");
-            goto out;
-        }
-        timersub(&stop, &start, &difftime);
-        printf("%d blocks written in %d.%03d sec (%.3f blocks/sec)\n",
-               nblk, (int)difftime.tv_sec, (int)difftime.tv_usec/1000,
-               (float)nblk / ((float)difftime.tv_sec + ((float)difftime.tv_usec)/1000000));
-    }
-
-    ret = dlen;
-out:
-    if (in_fd != 0)
-        close(in_fd);
-    return ret;
-}
-
-/* ---------------- main ---------------- */
-
-int main(int argc, char *argv[])
-{
-    int i, opt;
-    char *algo = "sha-1";
-    char *file = "-";
-    uint8_t digest[512/8];
-    int dlen;
-
-    while ((opt = getopt(argc, argv, "h?dvq")) != -1) {
-        switch (opt) {
-        case 'h':
-        case '?':
-            printf(usage, argv[0]);
-            return EXIT_SUCCESS;
-        case 'd':
-            debug = 1;
-            break;
-        case 'v':
-            verbose = 1;
-            break;
-        case 'q':
-            quiet = 1;
-            break;
-        default:
-            fprintf(stderr, usage, argv[0]);
-            return EXIT_FAILURE;
-        }
-    }
-
-    if (optind < argc) {
-        algo = argv[optind];
-        ++optind;
-    }
-    else {
-        if (!quiet)
-            printf("defaulting to algorithm \"%s\"\n", algo);
-    }
-
-    if (optind < argc) {
-        file = argv[optind];
-        ++optind;
-    }
-    else {
-        if (!quiet)
-            printf("reading from stdin\n");
-    }
-
-    dlen = hash(algo, file, digest);
-    if (dlen < 0)
-        return EXIT_FAILURE;
-
-    for (i = 0; i < dlen; ++i) {
-        printf("%02x", digest[i]);
-        if (i % 16 == 15)
-            printf("\n");
-        else if (i % 4 == 3)
-            printf(" ");
-    }
-    if (dlen % 16 != 0)
-        printf("\n");
-
-    return EXIT_SUCCESS;
-}
diff --git a/i2c/sw/hash_tester_i2c.c b/i2c/sw/hash_tester_i2c.c
deleted file mode 100644
index 41a117e..0000000
--- a/i2c/sw/hash_tester_i2c.c
+++ /dev/null
@@ -1,634 +0,0 @@
-/*
- * hash_tester.c
- * --------------
- * This program sends several commands to the coretest_hashes subsystem
- * in order to verify the SHA-1, SHA-256 and SHA-512/x hash function
- * cores.
- *
- * Note: This version of the program talks to the FPGA over an I2C bus.
- *
- * The single and dual block test cases are taken from the
- * NIST KAT document:
- * http://csrc.nist.gov/groups/ST/toolkit/documents/Examples/SHA_All.pdf
- *
- *
- * Authors: Joachim Strömbergson, Paul Selkirk
- * Copyright (c) 2014-2015, NORDUnet A/S All rights reserved.
- *
- * Redistribution and use in source and binary forms, with or without
- * modification, are permitted provided that the following conditions are
- * met:
- * - Redistributions of source code must retain the above copyright notice,
- *   this list of conditions and the following disclaimer.
- *
- * - Redistributions in binary form must reproduce the above copyright
- *   notice, this list of conditions and the following disclaimer in the
- *   documentation and/or other materials provided with the distribution.
- *
- * - Neither the name of the NORDUnet nor the names of its contributors may
- *   be used to endorse or promote products derived from this software
- *   without specific prior written permission.
- *
- * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
- * IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
- * TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
- * PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
- * HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
- * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
- * TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
- * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
- * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
- * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
- * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- */
-
-#include <string.h>
-#include <stdio.h>
-#include <stdlib.h>
-#include <unistd.h>
-#include <time.h>
-#include <stdint.h>
-#include <ctype.h>
-
-#include "tc_i2c.h"
-#include "cryptech_memory_map.h"
-
-int debug = 0;
-int quiet = 0;
-
-
-/* SHA-1/SHA-256 One Block Message Sample
-   Input Message: "abc" */
-const uint8_t NIST_512_SINGLE[] =
-{ 0x61, 0x62, 0x63, 0x80, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x18 };
-
-const uint8_t SHA1_SINGLE_DIGEST[] =
-{ 0xa9, 0x99, 0x3e, 0x36, 0x47, 0x06, 0x81, 0x6a,
-  0xba, 0x3e, 0x25, 0x71, 0x78, 0x50, 0xc2, 0x6c,
-  0x9c, 0xd0, 0xd8, 0x9d };
-
-const uint8_t SHA256_SINGLE_DIGEST[] =
-{ 0xBA, 0x78, 0x16, 0xBF, 0x8F, 0x01, 0xCF, 0xEA,
-  0x41, 0x41, 0x40, 0xDE, 0x5D, 0xAE, 0x22, 0x23,
-  0xB0, 0x03, 0x61, 0xA3, 0x96, 0x17, 0x7A, 0x9C,
-  0xB4, 0x10, 0xFF, 0x61, 0xF2, 0x00, 0x15, 0xAD };
-
-/* SHA-1/SHA-256 Two Block Message Sample
-   Input Message: "abcdbcdecdefdefgefghfghighijhijkijkljklmklmnlmnomnopnopq" */
-const uint8_t NIST_512_DOUBLE0[] =
-{ 0x61, 0x62, 0x63, 0x64, 0x62, 0x63, 0x64, 0x65,
-  0x63, 0x64, 0x65, 0x66, 0x64, 0x65, 0x66, 0x67,
-  0x65, 0x66, 0x67, 0x68, 0x66, 0x67, 0x68, 0x69,
-  0x67, 0x68, 0x69, 0x6A, 0x68, 0x69, 0x6A, 0x6B,
-  0x69, 0x6A, 0x6B, 0x6C, 0x6A, 0x6B, 0x6C, 0x6D,
-  0x6B, 0x6C, 0x6D, 0x6E, 0x6C, 0x6D, 0x6E, 0x6F,
-  0x6D, 0x6E, 0x6F, 0x70, 0x6E, 0x6F, 0x70, 0x71,
-  0x80, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 };
-const uint8_t NIST_512_DOUBLE1[] =
-{ 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x01, 0xC0 };
-
-const uint8_t SHA1_DOUBLE_DIGEST[] =
-{ 0x84, 0x98, 0x3E, 0x44, 0x1C, 0x3B, 0xD2, 0x6E,
-  0xBA, 0xAE, 0x4A, 0xA1, 0xF9, 0x51, 0x29, 0xE5,
-  0xE5, 0x46, 0x70, 0xF1 };
-
-const uint8_t SHA256_DOUBLE_DIGEST[] =
-{ 0x24, 0x8D, 0x6A, 0x61, 0xD2, 0x06, 0x38, 0xB8,
-  0xE5, 0xC0, 0x26, 0x93, 0x0C, 0x3E, 0x60, 0x39,
-  0xA3, 0x3C, 0xE4, 0x59, 0x64, 0xFF, 0x21, 0x67,
-  0xF6, 0xEC, 0xED, 0xD4, 0x19, 0xDB, 0x06, 0xC1 };
-
-/* SHA-512 One Block Message Sample
-   Input Message: "abc" */
-const uint8_t NIST_1024_SINGLE[] =
-{ 0x61, 0x62, 0x63, 0x80, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x18 };
-
-const uint8_t SHA512_224_SINGLE_DIGEST[] =
-{ 0x46, 0x34, 0x27, 0x0f, 0x70, 0x7b, 0x6a, 0x54,
-  0xda, 0xae, 0x75, 0x30, 0x46, 0x08, 0x42, 0xe2,
-  0x0e, 0x37, 0xed, 0x26, 0x5c, 0xee, 0xe9, 0xa4,
-  0x3e, 0x89, 0x24, 0xaa };
-const uint8_t SHA512_256_SINGLE_DIGEST[] =
-{ 0x53, 0x04, 0x8e, 0x26, 0x81, 0x94, 0x1e, 0xf9,
-  0x9b, 0x2e, 0x29, 0xb7, 0x6b, 0x4c, 0x7d, 0xab,
-  0xe4, 0xc2, 0xd0, 0xc6, 0x34, 0xfc, 0x6d, 0x46,
-  0xe0, 0xe2, 0xf1, 0x31, 0x07, 0xe7, 0xaf, 0x23 };
-const uint8_t SHA384_SINGLE_DIGEST[] =
-{ 0xcb, 0x00, 0x75, 0x3f, 0x45, 0xa3, 0x5e, 0x8b,
-  0xb5, 0xa0, 0x3d, 0x69, 0x9a, 0xc6, 0x50, 0x07,
-  0x27, 0x2c, 0x32, 0xab, 0x0e, 0xde, 0xd1, 0x63,
-  0x1a, 0x8b, 0x60, 0x5a, 0x43, 0xff, 0x5b, 0xed,
-  0x80, 0x86, 0x07, 0x2b, 0xa1, 0xe7, 0xcc, 0x23,
-  0x58, 0xba, 0xec, 0xa1, 0x34, 0xc8, 0x25, 0xa7 };
-const uint8_t SHA512_SINGLE_DIGEST[] =
-{ 0xdd, 0xaf, 0x35, 0xa1, 0x93, 0x61, 0x7a, 0xba,
-  0xcc, 0x41, 0x73, 0x49, 0xae, 0x20, 0x41, 0x31,
-  0x12, 0xe6, 0xfa, 0x4e, 0x89, 0xa9, 0x7e, 0xa2,
-  0x0a, 0x9e, 0xee, 0xe6, 0x4b, 0x55, 0xd3, 0x9a,
-  0x21, 0x92, 0x99, 0x2a, 0x27, 0x4f, 0xc1, 0xa8,
-  0x36, 0xba, 0x3c, 0x23, 0xa3, 0xfe, 0xeb, 0xbd,
-  0x45, 0x4d, 0x44, 0x23, 0x64, 0x3c, 0xe8, 0x0e,
-  0x2a, 0x9a, 0xc9, 0x4f, 0xa5, 0x4c, 0xa4, 0x9f };
-
-/* SHA-512 Two Block Message Sample
-   Input Message: "abcdefghbcdefghicdefghijdefghijkefghijklfghijklmghijklmn"
-   "hijklmnoijklmnopjklmnopqklmnopqrlmnopqrsmnopqrstnopqrstu" */
-const uint8_t NIST_1024_DOUBLE0[] =
-{ 0x61, 0x62, 0x63, 0x64, 0x65, 0x66, 0x67, 0x68,
-  0x62, 0x63, 0x64, 0x65, 0x66, 0x67, 0x68, 0x69,
-  0x63, 0x64, 0x65, 0x66, 0x67, 0x68, 0x69, 0x6a,
-  0x64, 0x65, 0x66, 0x67, 0x68, 0x69, 0x6a, 0x6b,
-  0x65, 0x66, 0x67, 0x68, 0x69, 0x6a, 0x6b, 0x6c,
-  0x66, 0x67, 0x68, 0x69, 0x6a, 0x6b, 0x6c, 0x6d,
-  0x67, 0x68, 0x69, 0x6a, 0x6b, 0x6c, 0x6d, 0x6e,
-  0x68, 0x69, 0x6a, 0x6b, 0x6c, 0x6d, 0x6e, 0x6f,
-  0x69, 0x6a, 0x6b, 0x6c, 0x6d, 0x6e, 0x6f, 0x70,
-  0x6a, 0x6b, 0x6c, 0x6d, 0x6e, 0x6f, 0x70, 0x71,
-  0x6b, 0x6c, 0x6d, 0x6e, 0x6f, 0x70, 0x71, 0x72,
-  0x6c, 0x6d, 0x6e, 0x6f, 0x70, 0x71, 0x72, 0x73,
-  0x6d, 0x6e, 0x6f, 0x70, 0x71, 0x72, 0x73, 0x74,
-  0x6e, 0x6f, 0x70, 0x71, 0x72, 0x73, 0x74, 0x75,
-  0x80, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 };
-const uint8_t NIST_1024_DOUBLE1[] =
-{ 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-  0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x03, 0x80 };
-
-const uint8_t SHA512_224_DOUBLE_DIGEST[] =
-{ 0x23, 0xfe, 0xc5, 0xbb, 0x94, 0xd6, 0x0b, 0x23,
-  0x30, 0x81, 0x92, 0x64, 0x0b, 0x0c, 0x45, 0x33,
-  0x35, 0xd6, 0x64, 0x73, 0x4f, 0xe4, 0x0e, 0x72,
-  0x68, 0x67, 0x4a, 0xf9 };
-const uint8_t SHA512_256_DOUBLE_DIGEST[] =
-{ 0x39, 0x28, 0xe1, 0x84, 0xfb, 0x86, 0x90, 0xf8,
-  0x40, 0xda, 0x39, 0x88, 0x12, 0x1d, 0x31, 0xbe,
-  0x65, 0xcb, 0x9d, 0x3e, 0xf8, 0x3e, 0xe6, 0x14,
-  0x6f, 0xea, 0xc8, 0x61, 0xe1, 0x9b, 0x56, 0x3a };
-const uint8_t SHA384_DOUBLE_DIGEST[] =
-{ 0x09, 0x33, 0x0c, 0x33, 0xf7, 0x11, 0x47, 0xe8,
-  0x3d, 0x19, 0x2f, 0xc7, 0x82, 0xcd, 0x1b, 0x47,
-  0x53, 0x11, 0x1b, 0x17, 0x3b, 0x3b, 0x05, 0xd2,
-  0x2f, 0xa0, 0x80, 0x86, 0xe3, 0xb0, 0xf7, 0x12,
-  0xfc, 0xc7, 0xc7, 0x1a, 0x55, 0x7e, 0x2d, 0xb9,
-  0x66, 0xc3, 0xe9, 0xfa, 0x91, 0x74, 0x60, 0x39 };
-const uint8_t SHA512_DOUBLE_DIGEST[] =
-{ 0x8e, 0x95, 0x9b, 0x75, 0xda, 0xe3, 0x13, 0xda,
-  0x8c, 0xf4, 0xf7, 0x28, 0x14, 0xfc, 0x14, 0x3f,
-  0x8f, 0x77, 0x79, 0xc6, 0xeb, 0x9f, 0x7f, 0xa1,
-  0x72, 0x99, 0xae, 0xad, 0xb6, 0x88, 0x90, 0x18,
-  0x50, 0x1d, 0x28, 0x9e, 0x49, 0x00, 0xf7, 0xe4,
-  0x33, 0x1b, 0x99, 0xde, 0xc4, 0xb5, 0x43, 0x3a,
-  0xc7, 0xd3, 0x29, 0xee, 0xb6, 0xdd, 0x26, 0x54,
-  0x5e, 0x96, 0xe5, 0x5b, 0x87, 0x4b, 0xe9, 0x09 };
-
-/* ---------------- sanity test case ---------------- */
-
-int TC0()
-{
-    uint8_t board_name0[4]      = "PVT1";
-    uint8_t board_name1[4]      = "    ";
-    uint8_t board_version[4]    = "0.10";
-
-    uint8_t comm_name0[4]       = "i2c ";
-    uint8_t comm_name1[4]       = "    ";
-    uint8_t comm_version[4]     = "0.10";
-
-    uint8_t t[4];
-
-    if (!quiet)
-        printf("TC0-1: Reading board type, version, and dummy reg from global registers.\n");
-
-    /* write current time into dummy register, then try to read it back
-     * to make sure that we can actually write something into EIM
-     */
-    (void)time((time_t *)t);
-    if (tc_write(BOARD_ADDR_DUMMY, t, 4) != 0)
-        return 1;
-
-    if (tc_expected(BOARD_ADDR_NAME0,   board_name0, 4) ||
-        tc_expected(BOARD_ADDR_NAME1,   board_name1, 4) ||
-        tc_expected(BOARD_ADDR_VERSION, board_version, 4) ||
-        tc_expected(BOARD_ADDR_DUMMY, t, 4))
-        return 1;
-
-    if (!quiet)
-        printf("TC0-2: Reading name and version words from communications core.\n");
-
-    return
-        tc_expected(COMM_ADDR_NAME0,    comm_name0, 4) ||
-        tc_expected(COMM_ADDR_NAME1,    comm_name1, 4) ||
-        tc_expected(COMM_ADDR_VERSION,  comm_version, 4);
-}
-
-/* ---------------- SHA-1 test cases ---------------- */
-
-/* TC1: Read name and version from SHA-1 core. */
-int TC1(void)
-{
-    uint8_t name0[4]   = "sha1";
-    uint8_t name1[4]   = "    ";
-    uint8_t version[4] = "0.50";
-
-    if (!quiet)
-        printf("TC1: Reading name and version words from SHA-1 core.\n");
-
-    return
-        tc_expected(SHA1_ADDR_NAME0, name0, 4) ||
-        tc_expected(SHA1_ADDR_NAME1, name1, 4) ||
-        tc_expected(SHA1_ADDR_VERSION, version, 4);
-}
-
-/* TC2: SHA-1 Single block message test as specified by NIST. */
-int TC2(void)
-{
-    const uint8_t *block = NIST_512_SINGLE;
-    const uint8_t *expected = SHA1_SINGLE_DIGEST;
-    int ret;
-
-    if (!quiet)
-        printf("TC2: Single block message test for SHA-1.\n");
-
-    /* Write block to SHA-1. */
-    tc_write(SHA1_ADDR_BLOCK, block, SHA1_BLOCK_LEN);
-    /* Start initial block hashing, wait and check status. */
-    tc_init(SHA1_ADDR_CTRL);
-    tc_wait_valid(SHA1_ADDR_STATUS);
-    /* Extract the digest. */
-    ret = tc_expected(SHA1_ADDR_DIGEST, expected, SHA1_DIGEST_LEN);
-    return ret;
-}
-
-/* TC3: SHA-1 Double block message test as specified by NIST. */
-int TC3(void)
-{
-    const uint8_t *block[2] = { NIST_512_DOUBLE0, NIST_512_DOUBLE1 };
-    static const uint8_t block0_expected[] =
-        { 0xF4, 0x28, 0x68, 0x18, 0xC3, 0x7B, 0x27, 0xAE,
-          0x04, 0x08, 0xF5, 0x81, 0x84, 0x67, 0x71, 0x48,
-          0x4A, 0x56, 0x65, 0x72 };
-    const uint8_t *expected = SHA1_DOUBLE_DIGEST;
-    int ret;
-
-    if (!quiet)
-        printf("TC3: Double block message test for SHA-1.\n");
-
-    /* Write first block to SHA-1. */
-    tc_write(SHA1_ADDR_BLOCK, block[0], SHA1_BLOCK_LEN);
-    /* Start initial block hashing, wait and check status. */
-    tc_init(SHA1_ADDR_CTRL);
-    tc_wait_valid(SHA1_ADDR_STATUS);
-    /* Extract the first digest. */
-    tc_expected(SHA1_ADDR_DIGEST, block0_expected, SHA1_DIGEST_LEN);
-    /* Write second block to SHA-1. */
-    tc_write(SHA1_ADDR_BLOCK, block[1], SHA1_BLOCK_LEN);
-    /* Start next block hashing, wait and check status. */
-    tc_next(SHA1_ADDR_CTRL);
-    tc_wait_valid(SHA1_ADDR_STATUS);
-    /* Extract the second digest. */
-    ret = tc_expected(SHA1_ADDR_DIGEST, expected, SHA1_DIGEST_LEN);
-    return ret;
-}
-
-/* ---------------- SHA-256 test cases ---------------- */
-
-/* TC4: Read name and version from SHA-256 core. */
-int TC4(void)
-{
-    uint8_t name0[4]   = "sha2";
-    uint8_t name1[4]   = "-256";
-    uint8_t version[4] = "0.80";
-
-    if (!quiet)
-        printf("TC4: Reading name, type and version words from SHA-256 core.\n");
-
-    return
-        tc_expected(SHA256_ADDR_NAME0, name0, 4) ||
-        tc_expected(SHA256_ADDR_NAME1, name1, 4) ||
-        tc_expected(SHA256_ADDR_VERSION, version, 4);
-}
-
-/* TC5: SHA-256 Single block message test as specified by NIST. */
-int TC5()
-{
-    const uint8_t *block = NIST_512_SINGLE;
-    const uint8_t *expected = SHA256_SINGLE_DIGEST;
-
-    if (!quiet)
-        printf("TC5: Single block message test for SHA-256.\n");
-
-    return
-        /* Write block to SHA-256. */
-        tc_write(SHA256_ADDR_BLOCK, block, SHA256_BLOCK_LEN) ||
-        /* Start initial block hashing, wait and check status. */
-        tc_init(SHA256_ADDR_CTRL) ||
-        tc_wait_valid(SHA256_ADDR_STATUS) ||
-        /* Extract the digest. */
-        tc_expected(SHA256_ADDR_DIGEST, expected, SHA256_DIGEST_LEN);
-}
-
-/* TC6: SHA-256 Double block message test as specified by NIST. */
-int TC6()
-{
-    const uint8_t *block[2] = { NIST_512_DOUBLE0, NIST_512_DOUBLE1 };
-    static const uint8_t block0_expected[] =
-        { 0x85, 0xE6, 0x55, 0xD6, 0x41, 0x7A, 0x17, 0x95,
-          0x33, 0x63, 0x37, 0x6A, 0x62, 0x4C, 0xDE, 0x5C,
-          0x76, 0xE0, 0x95, 0x89, 0xCA, 0xC5, 0xF8, 0x11,
-          0xCC, 0x4B, 0x32, 0xC1, 0xF2, 0x0E, 0x53, 0x3A };
-    const uint8_t *expected = SHA256_DOUBLE_DIGEST;
-
-    if (!quiet)
-        printf("TC6: Double block message test for SHA-256.\n");
-
-    return
-        /* Write first block to SHA-256. */
-        tc_write(SHA256_ADDR_BLOCK, block[0], SHA256_BLOCK_LEN) ||
-        /* Start initial block hashing, wait and check status. */
-        tc_init(SHA256_ADDR_CTRL) ||
-        tc_wait_valid(SHA256_ADDR_STATUS) ||
-        /* Extract the first digest. */
-        tc_expected(SHA256_ADDR_DIGEST, block0_expected, SHA256_DIGEST_LEN) ||
-        /* Write second block to SHA-256. */
-        tc_write(SHA256_ADDR_BLOCK, block[1], SHA256_BLOCK_LEN) ||
-        /* Start next block hashing, wait and check status. */
-        tc_next(SHA256_ADDR_CTRL) ||
-        tc_wait_valid(SHA256_ADDR_STATUS) ||
-        /* Extract the second digest. */
-        tc_expected(SHA256_ADDR_DIGEST, expected, SHA256_DIGEST_LEN);
-}
-
-/* TC7: SHA-256 Huge message test. */
-int TC7()
-{
-    static const uint8_t block[] =
-        { 0xaa, 0x55, 0xaa, 0x55, 0xde, 0xad, 0xbe, 0xef,
-          0x55, 0xaa, 0x55, 0xaa, 0xf0, 0x0f, 0xf0, 0x0f,
-          0xaa, 0x55, 0xaa, 0x55, 0xde, 0xad, 0xbe, 0xef,
-          0x55, 0xaa, 0x55, 0xaa, 0xf0, 0x0f, 0xf0, 0x0f,
-          0xaa, 0x55, 0xaa, 0x55, 0xde, 0xad, 0xbe, 0xef,
-          0x55, 0xaa, 0x55, 0xaa, 0xf0, 0x0f, 0xf0, 0x0f,
-          0xaa, 0x55, 0xaa, 0x55, 0xde, 0xad, 0xbe, 0xef,
-          0x55, 0xaa, 0x55, 0xaa, 0xf0, 0x0f, 0xf0, 0x0f };
-
-    /* final digest after 1000 iterations */
-    static const uint8_t expected[] =
-        { 0x76, 0x38, 0xf3, 0xbc, 0x50, 0x0d, 0xd1, 0xa6,
-          0x58, 0x6d, 0xd4, 0xd0, 0x1a, 0x15, 0x51, 0xaf,
-          0xd8, 0x21, 0xd2, 0x35, 0x2f, 0x91, 0x9e, 0x28,
-          0xd5, 0x84, 0x2f, 0xab, 0x03, 0xa4, 0x0f, 0x2a };
-
-    int i, n = 1000;
-
-    if (!quiet)
-        printf("TC7: Message with %d blocks test for SHA-256.\n", n);
-
-    /* Write block data to SHA-256. */
-    if (tc_write(SHA256_ADDR_BLOCK, block, SHA256_BLOCK_LEN))
-        return 1;
-
-    /* Start initial block hashing, wait and check status. */
-    if (tc_init(SHA256_ADDR_CTRL) ||
-        tc_wait_ready(SHA256_ADDR_STATUS))
-        return 1;
-
-    /* First block done. Do the rest. */
-    for (i = 1; i < n; ++i) {
-        /* Start next block hashing, wait and check status. */
-        if (tc_next(SHA256_ADDR_CTRL) ||
-            tc_wait_ready(SHA256_ADDR_STATUS))
-            return 1;
-    }
-
-    /* XXX valid is probably set at the same time as ready */
-    if (tc_wait_valid(SHA256_ADDR_STATUS))
-        return 1;
-    /* Extract the final digest. */
-    return tc_expected(SHA256_ADDR_DIGEST, expected, SHA256_DIGEST_LEN);
-}
-
-/* ---------------- SHA-512 test cases ---------------- */
-
-/* TC8: Read name and version from SHA-512 core. */
-int TC8()
-{
-    uint8_t name0[4]   = "sha2";
-    uint8_t name1[4]   = "-512";
-    uint8_t version[4] = "0.80";
-
-    if (!quiet)
-        printf("TC8: Reading name, type and version words from SHA-512 core.\n");
-
-    return
-        tc_expected(SHA512_ADDR_NAME0, name0, 4) ||
-        tc_expected(SHA512_ADDR_NAME1, name1, 4) ||
-        tc_expected(SHA512_ADDR_VERSION, version, 4);
-}
-
-/* TC9: SHA-512 Single block message test as specified by NIST.
-   We do this for all modes. */
-int tc9(int mode, const uint8_t *expected, int digest_len)
-{
-    const uint8_t *block = NIST_1024_SINGLE;
-    uint8_t init[4] = { 0, 0, 0, CTRL_INIT_CMD + mode };
-
-    return
-        /* Write block to SHA-512. */
-        tc_write(SHA512_ADDR_BLOCK, block, SHA512_BLOCK_LEN) ||
-        /* Start initial block hashing, wait and check status. */
-        tc_write(SHA512_ADDR_CTRL, init, 4) ||
-        tc_wait_valid(SHA512_ADDR_STATUS) ||
-        /* Extract the digest. */
-        tc_expected(SHA512_ADDR_DIGEST, expected, digest_len);
-}
-
-int TC9()
-{
-    if (!quiet)
-        printf("TC9-1: Single block message test for SHA-512/224.\n");
-    if (tc9(MODE_SHA_512_224, SHA512_224_SINGLE_DIGEST, SHA512_224_DIGEST_LEN) != 0)
-        return 1;
-
-    if (!quiet)
-        printf("TC9-2: Single block message test for SHA-512/256.\n");
-    if (tc9(MODE_SHA_512_256, SHA512_256_SINGLE_DIGEST, SHA512_256_DIGEST_LEN) != 0)
-        return 1;
-
-    if (!quiet)
-        printf("TC9-3: Single block message test for SHA-384.\n");
-    if (tc9(MODE_SHA_384, SHA384_SINGLE_DIGEST, SHA384_DIGEST_LEN) != 0)
-        return 1;
-
-    if (!quiet)
-        printf("TC9-4: Single block message test for SHA-512.\n");
-    if (tc9(MODE_SHA_512, SHA512_SINGLE_DIGEST, SHA512_DIGEST_LEN) != 0)
-        return 1;
-
-    return 0;
-}
-
-/* TC10: SHA-512 Double block message test as specified by NIST.
-   We do this for all modes. */
-int tc10(int mode, const uint8_t *expected, int digest_len)
-{
-    const uint8_t *block[2] = { NIST_1024_DOUBLE0, NIST_1024_DOUBLE1 };
-    uint8_t init[4] = { 0, 0, 0, CTRL_INIT_CMD + mode };
-    uint8_t next[4] = { 0, 0, 0, CTRL_NEXT_CMD + mode };
-
-    return
-        /* Write first block to SHA-512. */
-        tc_write(SHA512_ADDR_BLOCK, block[0], SHA512_BLOCK_LEN) ||
-        /* Start initial block hashing, wait and check status. */
-        tc_write(SHA512_ADDR_CTRL, init, 4) ||
-        tc_wait_ready(SHA512_ADDR_STATUS) ||
-        /* Write second block to SHA-512. */
-        tc_write(SHA512_ADDR_BLOCK, block[1], SHA512_BLOCK_LEN) ||
-        /* Start next block hashing, wait and check status. */
-        tc_write(SHA512_ADDR_CTRL, next, 4) ||
-        tc_wait_valid(SHA512_ADDR_STATUS) ||
-        /* Extract the digest. */
-        tc_expected(SHA512_ADDR_DIGEST, expected, digest_len);
-}
-
-int TC10()
-{
-    if (!quiet)
-        printf("TC10-1: Double block message test for SHA-512/224.\n");
-    if (tc10(MODE_SHA_512_224, SHA512_224_DOUBLE_DIGEST, SHA512_224_DIGEST_LEN) != 0)
-        return 1;
-
-    if (!quiet)
-        printf("TC10-2: Double block message test for SHA-512/256.\n");
-    if (tc10(MODE_SHA_512_256, SHA512_256_DOUBLE_DIGEST, SHA512_256_DIGEST_LEN) != 0)
-        return 1;
-
-    if (!quiet)
-        printf("TC10-3: Double block message test for SHA-384.\n");
-    if (tc10(MODE_SHA_384, SHA384_DOUBLE_DIGEST, SHA384_DIGEST_LEN) != 0)
-        return 1;
-
-    if (!quiet)
-        printf("TC10-4: Double block message test for SHA-512.\n");
-    if (tc10(MODE_SHA_512, SHA512_DOUBLE_DIGEST, SHA512_DIGEST_LEN) != 0)
-        return 1;
-
-    return 0;
-}
-
-/* ---------------- main ---------------- */
-
-int main(int argc, char *argv[])
-{
-    typedef int (*tcfp)(void);
-    tcfp all_tests[] = { TC0, TC1, TC2, TC3, TC4, TC5, TC6, TC7, TC8, TC9, TC10 };
-    tcfp sha1_tests[] = { TC1, TC2, TC3 };
-    tcfp sha256_tests[] = { TC4, TC5, TC6, TC7 };
-    tcfp sha512_tests[] = { TC8, TC9, TC10 };
-
-    char *usage = "Usage: %s [-h] [-d] [-q] tc...\n";
-    int i, j, opt;
-
-    while ((opt = getopt(argc, argv, "h?dq")) != -1) {
-        switch (opt) {
-        case 'h':
-        case '?':
-            printf(usage, argv[0]);
-            return EXIT_SUCCESS;
-        case 'd':
-            debug = 1;
-            break;
-        case 'q':
-            quiet = 1;
-            break;
-        default:
-            fprintf(stderr, usage, argv[0]);
-            return EXIT_FAILURE;
-        }
-    }
-
-    /* no args == run all tests */
-    if (optind >= argc) {
-        for (j = 0; j < sizeof(all_tests)/sizeof(all_tests[0]); ++j)
-            if (all_tests[j]() != 0)
-                return EXIT_FAILURE;
-        return EXIT_SUCCESS;
-    }
-
-    /* run one or more tests (by number) or groups of tests (by name) */
-    for (i = optind; i < argc; ++i) {
-        if (strcmp(argv[i], "all") == 0) {
-            for (j = 0; j < sizeof(all_tests)/sizeof(all_tests[0]); ++j)
-                if (all_tests[j]() != 0)
-                    return EXIT_FAILURE;
-        }
-        else if (strcmp(argv[i], "sha1") == 0) {
-            for (j = 0; j < sizeof(sha1_tests)/sizeof(sha1_tests[0]); ++j)
-                if (sha1_tests[j]() != 0)
-                    return EXIT_FAILURE;
-        }
-        else if (strcmp(argv[i], "sha256") == 0) {
-            for (j = 0; j < sizeof(sha256_tests)/sizeof(sha256_tests[0]); ++j)
-                if (sha256_tests[j]() != 0)
-                    return EXIT_FAILURE;
-        }
-        else if (strcmp(argv[i], "sha512") == 0) {
-            for (j = 0; j < sizeof(sha512_tests)/sizeof(sha512_tests[0]); ++j)
-                if (sha512_tests[j]() != 0)
-                    return EXIT_FAILURE;
-        }
-        else if (isdigit(argv[i][0]) &&
-                 (((j = atoi(argv[i])) >= 0) &&
-                  (j < sizeof(all_tests)/sizeof(all_tests[0])))) {
-            if (all_tests[j]() != 0)
-                return EXIT_FAILURE;
-        }
-        else {
-            fprintf(stderr, "unknown test case %s\n", argv[i]);
-            return EXIT_FAILURE;
-        }
-    }
-
-    return EXIT_SUCCESS;
-}
diff --git a/i2c/sw/tc_i2c.h b/i2c/sw/tc_i2c.h
deleted file mode 100644
index b1afae1..0000000
--- a/i2c/sw/tc_i2c.h
+++ /dev/null
@@ -1,55 +0,0 @@
-/* 
- * tc_i2c.h
- * --------
- * This module contains common code to talk to the FPGA over the I2C bus.
- * 
- * Author: Paul Selkirk
- * Copyright (c) 2014-2015, NORDUnet A/S All rights reserved.
- * 
- * Redistribution and use in source and binary forms, with or without
- * modification, are permitted provided that the following conditions are
- * met:
- * - Redistributions of source code must retain the above copyright notice,
- *   this list of conditions and the following disclaimer.
- *
- * - Redistributions in binary form must reproduce the above copyright
- *   notice, this list of conditions and the following disclaimer in the
- *   documentation and/or other materials provided with the distribution.
- *
- * - Neither the name of the NORDUnet nor the names of its contributors may
- *   be used to endorse or promote products derived from this software
- *   without specific prior written permission.
- *
- * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
- * IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
- * TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
- * PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
- * HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
- * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
- * TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
- * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
- * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
- * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
- * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- */
-
-/* cryptech memory map */
-#define BASE_ADDR               0
-#define SEGMENT_SIZE            0x2000
-#define ADDR(x)                 (x)
-#include "cryptech_memory_map.h"
-
-/* I2C configuration */
-#define I2C_dev                 "/dev/i2c-2"
-#define I2C_addr                0x0f
-#define I2C_SLAVE               0x0703
-
-/* test case public functions */
-int tc_write(off_t offset, const uint8_t *data, size_t len);
-int tc_read(off_t offset, uint8_t *data, size_t len);
-int tc_expected(off_t offset, const uint8_t *data, size_t len);
-int tc_init(off_t offset);
-int tc_next(off_t offset);
-int tc_wait(off_t offset, uint8_t status, int *count);
-int tc_wait_ready(off_t offset);
-int tc_wait_valid(off_t offset);
diff --git a/i2c/sw/trng_extractor_i2c.c b/i2c/sw/trng_extractor_i2c.c
deleted file mode 100644
index 7b3c2ef..0000000
--- a/i2c/sw/trng_extractor_i2c.c
+++ /dev/null
@@ -1,169 +0,0 @@
-/*
- * trng_extractor.c
- * ----------------
- * This program extracts raw data from the avalanche_entropy, rosc_entropy,
- * and csprng cores.
- *
- * Author: Paul Selkirk
- * Copyright (c) 2015, NORDUnet A/S All rights reserved.
- *
- * Redistribution and use in source and binary forms, with or without
- * modification, are permitted provided that the following conditions are
- * met:
- * - Redistributions of source code must retain the above copyright notice,
- *   this list of conditions and the following disclaimer.
- *
- * - Redistributions in binary form must reproduce the above copyright
- *   notice, this list of conditions and the following disclaimer in the
- *   documentation and/or other materials provided with the distribution.
- *
- * - Neither the name of the NORDUnet nor the names of its contributors may
- *   be used to endorse or promote products derived from this software
- *   without specific prior written permission.
- *
- * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
- * IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
- * TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
- * PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
- * HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
- * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
- * TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
- * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
- * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
- * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
- * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- */
-
-#include <stdio.h>
-#include <stdlib.h>
-#include <unistd.h>
-#include <stdint.h>
-#include <ctype.h>
-
-#include "tc_i2c.h"
-#include "cryptech_memory_map.h"
-
-char *usage = 
-"%s [-a|r|c] [-n #] [-o file]\n\
-\n\
--a      avalanche entropy\n\
--r      rosc entropy\n\
--c      csprng (default data source)\n\
--n      number of 4-byte samples (scale with K, M, or G suffix)\n\
--o      output file (defaults to stdout)\n\
-";
-
-int debug = 0;          /* for dump() */
-
-/* extract one data sample */
-static int extract(off_t status_addr, off_t data_addr, uint32_t *data)
-{
-/* In theory, we should wait for "valid" before reading random
- * data, but as long as this is running over I2C we're going to be so
- * slow that there's no point, and checking would just make us slower.
- */
-#define WAIT_FOR_TRNG_VALID     0
-
-#if WAIT_FOR_TRNG_VALID
-    if (tc_wait(status_addr, ENTROPY1_STATUS_VALID, NULL) != 0) {
-        fprintf(stderr, "tc_wait failed\n");
-        return 1;
-    }
-#endif
-
-    if (tc_read(data_addr, (uint8_t *)data, 4) != 0) {
-        fprintf(stderr, "tc_read failed\n");
-        return 1;
-    }
-
-    return 0;
-}
-
-/* main */
-int main(int argc, char *argv[])
-{
-    int i, opt;
-    unsigned long num_words = 1;
-    char *endptr;
-    off_t status_addr = CSPRNG_ADDR_STATUS;
-    off_t data_addr = CSPRNG_ADDR_RANDOM;
-    FILE *output = stdout;
-    uint32_t data;
-
-    /* parse command line */
-    while ((opt = getopt(argc, argv, "h?arcn:o:")) != -1) {
-        switch (opt) {
-        case 'h':
-        case '?':
-            printf(usage, argv[0]);
-            return EXIT_SUCCESS;
-        case 'a':
-            status_addr = ENTROPY1_ADDR_STATUS;
-            data_addr = ENTROPY1_ADDR_ENTROPY;
-            break;
-        case 'r':
-            status_addr = ENTROPY2_ADDR_STATUS;
-            data_addr = ENTROPY2_ADDR_ENTROPY;
-            break;
-        case 'c':
-            status_addr = CSPRNG_ADDR_STATUS;
-            data_addr = CSPRNG_ADDR_RANDOM;
-            break;
-        case 'n':
-            num_words = strtoul(optarg, &endptr, 10);
-            switch (toupper(*endptr)) {
-            case '\0':
-                break;
-            case 'K':
-                num_words *= 1000;
-                break;
-            case 'M':
-                num_words *= 1000000;
-                break;
-            case 'G':
-                num_words *= 1000000000;
-                break;
-            default:
-                fprintf(stderr, "unsupported -n suffix %s\n", endptr);
-                return EXIT_FAILURE;
-            }
-            break;
-        case 'o':
-            output = fopen(optarg, "wb+");
-            if (output == NULL) {
-                fprintf(stderr, "error opening output file %s: ", optarg);
-                perror("");
-                return EXIT_FAILURE;
-            }
-            break;
-        default:
-        errout:
-            fprintf(stderr, usage, argv[0]);
-            return EXIT_FAILURE;
-        }
-    }
-    if (optind < argc) {
-        fprintf(stderr, "%s: invalid argument%s --",
-                argv[0], argc - optind > 1 ? "s" : "");
-        do {
-            fprintf(stderr, " %s", argv[optind]);
-            ++optind;
-        } while (optind < argc);
-        fprintf(stderr, "\n");
-        goto errout;
-    }
-
-    /* get the data */
-    for (i = 0; i < num_words; ++i) {
-        if (extract(status_addr, data_addr, &data) != 0)
-            return EXIT_FAILURE;
-        if (fwrite(&data, sizeof(data), 1, output) != 1) {
-            perror("fwrite");
-            fclose(output);
-            return EXIT_FAILURE;
-        }
-    }
-
-    fclose(output);
-    return EXIT_SUCCESS;
-}
diff --git a/i2c/sw/trng_tester_i2c.c b/i2c/sw/trng_tester_i2c.c
deleted file mode 100644
index 92b2c94..0000000
--- a/i2c/sw/trng_tester_i2c.c
+++ /dev/null
@@ -1,321 +0,0 @@
-/*
- * trng_tester.c
- * --------------
- * This program sends several commands to the TRNG subsystem
- * in order to verify the avalanche_entropy, rosc_entropy, and csprng cores.
- *
- * Note: This version of the program talks to the FPGA over an I2C bus.
- *
- * 
- * Author: Paul Selkirk
- * Copyright (c) 2014-2015, NORDUnet A/S All rights reserved.
- *
- * Redistribution and use in source and binary forms, with or without
- * modification, are permitted provided that the following conditions are
- * met:
- * - Redistributions of source code must retain the above copyright notice,
- *   this list of conditions and the following disclaimer.
- *
- * - Redistributions in binary form must reproduce the above copyright
- *   notice, this list of conditions and the following disclaimer in the
- *   documentation and/or other materials provided with the distribution.
- *
- * - Neither the name of the NORDUnet nor the names of its contributors may
- *   be used to endorse or promote products derived from this software
- *   without specific prior written permission.
- *
- * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
- * IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
- * TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
- * PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
- * HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
- * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
- * TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
- * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
- * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
- * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
- * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- */
-
-#include <string.h>
-#include <stdio.h>
-#include <stdlib.h>
-#include <unistd.h>
-#include <time.h>
-#include <stdint.h>
-#include <ctype.h>
-
-#include "tc_i2c.h"
-#include "cryptech_memory_map.h"
-
-char *usage = "Usage: %s [-h] [-d] [-q] [-w] [-n #] tc...\n";
-
-int debug = 0;
-int quiet = 0;
-int num_words = 10;
-int wait_stats = 0;
-
-/* ---------------- sanity test case ---------------- */
-
-int TC0()
-{
-    uint8_t board_name0[4]      = "PVT1";
-    uint8_t board_name1[4]      = "    ";
-    uint8_t board_version[4]    = "0.10";
-
-    uint8_t comm_name0[4]       = "i2c ";
-    uint8_t comm_name1[4]       = "    ";
-    uint8_t comm_version[4]     = "0.10";
-
-    uint8_t t[4];
-
-    if (!quiet)
-        printf("TC0-1: Reading board type, version, and dummy reg from global registers.\n");
-
-    /* write current time into dummy register, then try to read it back
-     * to make sure that we can actually write something into EIM
-     */
-    (void)time((time_t *)t);
-    if (tc_write(BOARD_ADDR_DUMMY, t, 4) != 0)
-        return 1;
-
-    if (tc_expected(BOARD_ADDR_NAME0,   board_name0,   4) ||
-        tc_expected(BOARD_ADDR_NAME1,   board_name1,   4) ||
-        tc_expected(BOARD_ADDR_VERSION, board_version, 4) ||
-        tc_expected(BOARD_ADDR_DUMMY,   t,             4))
-        return 1;
-
-    if (!quiet)
-        printf("TC0-2: Reading name and version words from communications core.\n");
-
-    return
-        tc_expected(COMM_ADDR_NAME0,    comm_name0,   4) ||
-        tc_expected(COMM_ADDR_NAME1,    comm_name1,   4) ||
-        tc_expected(COMM_ADDR_VERSION,  comm_version, 4);
-}
-
-/* ---------------- trng test cases ---------------- */
-
-/* TC1: Read name and version from trng core. */
-int TC1(void)
-{
-    uint8_t name0[4]   = "trng";
-    uint8_t name1[4]   = "    ";
-    uint8_t version[4] = "0.01";
-
-    if (!quiet)
-        printf("TC1: Reading name and version words from trng core.\n");
-
-    return
-        tc_expected(TRNG_ADDR_NAME0, name0, 4) ||
-        tc_expected(TRNG_ADDR_NAME1, name1, 4) ||
-        tc_expected(TRNG_ADDR_VERSION, version, 4);
-}
-
-/* XXX test cases for setting blinkenlights? */
-/* XXX set 'discard' control bit, see if we read the same value */
-
-/* ---------------- avalanche_entropy test cases ---------------- */
-
-/* TC2: Read name and version from avalanche_entropy core. */
-int TC2(void)
-{
-    uint8_t name0[4]   = "extn";
-    uint8_t name1[4]   = "oise";
-    uint8_t version[4] = "0.10";
-
-    if (!quiet)
-        printf("TC2: Reading name and version words from avalanche_entropy core.\n");
-
-    return
-        tc_expected(ENTROPY1_ADDR_NAME0, name0, 4) ||
-        tc_expected(ENTROPY1_ADDR_NAME1, name1, 4) ||
-        tc_expected(ENTROPY1_ADDR_VERSION, version, 4);
-}
-
-/* XXX clear 'enable' control bit, see if we read the same value */
-
-/* TC3: Read random data from avalanche_entropy. */
-int TC3(void)
-{
-    int i, n;
-    uint32_t entropy;
-
-    if (!quiet)
-        printf("TC3: Read random data from avalanche_entropy.\n");
-
-    for (i = 0; i < num_words; ++i) {
-        /* check status */
-        n = 10;
-        if (tc_wait(ENTROPY1_ADDR_STATUS, ENTROPY1_STATUS_VALID, &n) != 0)
-            return 1;
-        /* read entropy data */
-        if (tc_read(ENTROPY1_ADDR_ENTROPY, (uint8_t *)&entropy, 4) != 0)
-            return 1;
-        /* display entropy data */
-        if (!debug) {
-            if (wait_stats)
-                printf("%08x %d\n", entropy, n);
-            else
-                printf("%08x\n", entropy);
-        }
-    }
-
-    return 0;
-}
-
-/* ---------------- rosc_entropy test cases ---------------- */
-
-/* TC4: Read name and version from rosc_entropy core. */
-int TC4(void)
-{
-    uint8_t name0[4]   = "rosc";
-    uint8_t name1[4]   = " ent";
-    uint8_t version[4] = "0.10";
-
-    if (!quiet)
-        printf("TC4: Reading name and version words from rosc_entropy core.\n");
-
-    return
-        tc_expected(ENTROPY2_ADDR_NAME0, name0, 4) ||
-        tc_expected(ENTROPY2_ADDR_NAME1, name1, 4) ||
-        tc_expected(ENTROPY2_ADDR_VERSION, version, 4);
-}
-
-/* XXX clear 'enable' control bit, see if we read the same value */
-
-/* TC5: Read random data from rosc_entropy. */
-int TC5(void)
-{
-    int i, n;
-    uint32_t entropy;
-
-    if (!quiet)
-        printf("TC5: Read random data from rosc_entropy.\n");
-
-    for (i = 0; i < num_words; ++i) {
-        /* check status */
-        n = 10;
-        if (tc_wait(ENTROPY2_ADDR_STATUS, ENTROPY2_STATUS_VALID, &n) != 0)
-            return 1;
-        /* read entropy data */
-        if (tc_read(ENTROPY2_ADDR_ENTROPY, (uint8_t *)&entropy, 4) != 0)
-            return 1;
-        /* display entropy data */
-        if (!debug) {
-            if (wait_stats)
-                printf("%08x %d\n", entropy, n);
-            else
-                printf("%08x\n", entropy);
-        }
-    }
-
-    return 0;
-}
-
-/* ---------------- trng_csprng test cases ---------------- */
-
-/* TC6: Read name and version from trng_csprng core. */
-int TC6(void)
-{
-    /* XXX csprng core currently doesn't have name/version registers */
-    return 0;
-}
-
-/* XXX clear 'enable' control bit, see if we read the same value */
-/* XXX set 'seed' control bit, see if we read the same value */
-
-/* TC7: Read random data from trng_csprng. */
-int TC7(void)
-{
-    int i, n;
-    uint32_t random;
-
-    if (!quiet)
-        printf("TC7: Read random data from trng_csprng.\n");
-
-    for (i = 0; i < num_words; ++i) {
-        /* check status */
-        n = 10;
-        if (tc_wait(CSPRNG_ADDR_STATUS, CSPRNG_STATUS_VALID, &n) != 0)
-            return 1;
-        /* read random data */
-        if (tc_read(CSPRNG_ADDR_RANDOM, (uint8_t *)&random, 4) != 0)
-            return 1;
-        /* display random data */
-        if (!debug) {
-            if (wait_stats)
-                printf("%08x %d\n", random, n);
-            else
-                printf("%08x\n", random);
-        }
-    }
-
-    return 0;
-}
-
-/* ---------------- main ---------------- */
-
-int main(int argc, char *argv[])
-{
-    typedef int (*tcfp)(void);
-    tcfp all_tests[] = { TC0, TC1, TC2, TC3, TC4, TC5, TC6, TC7 };
-    int i, j, opt;
-
-    while ((opt = getopt(argc, argv, "h?dqn:w")) != -1) {
-        switch (opt) {
-        case 'h':
-        case '?':
-            printf(usage, argv[0]);
-            return EXIT_SUCCESS;
-        case 'd':
-            debug = 1;
-            break;
-        case 'q':
-            quiet = 1;
-            break;
-        case 'n':
-            num_words = atoi(optarg);
-            if (num_words <= 0) {
-                fprintf(stderr, "-n requires a positive integer argument\n");
-                return EXIT_FAILURE;
-            }
-            break;
-        case 'w':
-            wait_stats = 1;
-            break;
-        default:
-            fprintf(stderr, usage, argv[0]);
-            return EXIT_FAILURE;
-        }
-    }
-
-    /* no args == run all tests */
-    if (optind >= argc) {
-        for (j = 0; j < sizeof(all_tests)/sizeof(all_tests[0]); ++j)
-            if (all_tests[j]() != 0)
-                return EXIT_FAILURE;
-        return EXIT_SUCCESS;
-    }
-
-    /* run one or more tests (by number) or groups of tests (by name) */
-    for (i = optind; i < argc; ++i) {
-        if (strcmp(argv[i], "all") == 0) {
-            for (j = 0; j < sizeof(all_tests)/sizeof(all_tests[0]); ++j)
-                if (all_tests[j]() != 0)
-                    return EXIT_FAILURE;
-        }
-        else if (isdigit(argv[i][0]) &&
-                 (((j = atoi(argv[i])) >= 0) &&
-                  (j < sizeof(all_tests)/sizeof(all_tests[0])))) {
-            if (all_tests[j]() != 0)
-                return EXIT_FAILURE;
-        }
-        else {
-            fprintf(stderr, "unknown test case %s\n", argv[i]);
-            return EXIT_FAILURE;
-        }
-    }
-
-    return EXIT_SUCCESS;
-}
diff --git a/sw/Makefile b/sw/Makefile
new file mode 100755
index 0000000..93976d7
--- /dev/null
+++ b/sw/Makefile
@@ -0,0 +1,44 @@
+CC = gcc
+CFLAGS = -Wall
+
+LIB = libcryptech.a
+BIN = hash hash_tester trng_extractor trng_tester
+INC = cryptech.h
+
+PREFIX = /usr/local
+LIB_DIR = $(PREFIX)/lib
+BIN_DIR = $(PREFIX)/bin
+INC_DIR = $(PREFIX)/include
+
+all: $(LIB) $(BIN)
+
+%.o: %.c $(INC)
+	$(CC) $(CFLAGS) -c -o $@ $<
+
+libcryptech.a: tc_eim.o novena-eim.o
+	ar rcs $@ $^
+
+hash_tester: hash_tester.o $(LIB)
+	$(CC) -o $@ $^
+
+trng_tester: trng_tester.o $(LIB)
+	$(CC) -o $@ $^
+
+hash: hash.o $(LIB)
+	$(CC) -o $@ $^
+
+trng_extractor: trng_extractor.o $(LIB)
+	$(CC) -o $@ $^
+
+install: $(LIB) $(BIN) $(INC)
+	install $(LIB) $(LIB_DIR)
+	install $(BIN) $(BIN_DIR)
+	install $(INC) $(INC_DIR)
+
+uninstall:
+	rm -f $(LIB_DIR)/$(LIB)
+	rm -f $(foreach bin,$(BIN),$(BIN_DIR)/$(bin))
+	rm -f $(INC_DIR)/$(INC)
+
+clean:
+	rm -f *.o $(LIB) $(BIN)
diff --git a/sw/Makefile.i2c b/sw/Makefile.i2c
new file mode 100755
index 0000000..fa136a4
--- /dev/null
+++ b/sw/Makefile.i2c
@@ -0,0 +1,44 @@
+CC = gcc
+CFLAGS = -Wall
+
+LIB = libcryptech_i2c.a
+BIN = hash_i2c hash_tester_i2c trng_extractor_i2c trng_tester_i2c
+INC = cryptech.h
+
+PREFIX = /usr/local
+LIB_DIR = $(PREFIX)/lib
+BIN_DIR = $(PREFIX)/bin
+INC_DIR = $(PREFIX)/include
+
+all: $(LIB) $(BIN)
+
+%.o: %.c $(INC)
+	$(CC) $(CFLAGS) -c -o $@ $<
+
+libcryptech_i2c.a: tc_i2c.o
+	ar rcs $@ $^
+
+hash_tester_i2c: hash_tester.o $(LIB)
+	$(CC) -o $@ $^
+
+trng_tester_i2c: trng_tester.o $(LIB)
+	$(CC) -o $@ $^
+
+hash_i2c: hash.o $(LIB)
+	$(CC) -o $@ $^
+
+trng_extractor_i2c: trng_extractor.o $(LIB)
+	$(CC) -o $@ $^
+
+install: $(LIB) $(BIN) $(INC)
+	install $(LIB) $(LIB_DIR)
+	install $(BIN) $(BIN_DIR)
+	install $(INC) $(INC_DIR)
+
+uninstall:
+	rm -f $(LIB_DIR)/$(LIB)
+	rm -f $(foreach bin,$(BIN),$(BIN_DIR)/$(bin))
+	rm -f $(INC_DIR)/$(INC)
+
+clean:
+	rm -f *.o $(LIB) $(BIN)
diff --git a/eim/sw/cryptech_memory_map.h b/sw/cryptech.h
similarity index 69%
rename from eim/sw/cryptech_memory_map.h
rename to sw/cryptech.h
index 5cf7f42..509c4e9 100644
--- a/eim/sw/cryptech_memory_map.h
+++ b/sw/cryptech.h
@@ -1,8 +1,8 @@
 //======================================================================
 //
-// cryptech_memory_map.h
-// ---------------------
-// The memory map for Cryptech cores.
+// cryptech.h
+// ----------
+// Memory map and access functions for Cryptech cores.
 //
 //
 // Authors: Joachim Strombergson, Paul Selkirk
@@ -36,48 +36,38 @@
 //
 //======================================================================
 
-// BASE_ADDR, SEGMENT_SIZE, and ADDR are defined in tc_[eim|i2c].h,
-// which #includes this file.
 
-// default definitions from i2c, because defaults are good
-#ifndef BASE_ADDR
-#define BASE_ADDR               0
-#endif
-#ifndef SEGMENT_SIZE
+// Segments.
 #define SEGMENT_SIZE            0x2000
-#endif
-#ifndef ADDR
-#define ADDR(x)                 (x)
-#endif
+#define SEGMENT_OFFSET_GLOBALS  0 * SEGMENT_SIZE
+#define SEGMENT_OFFSET_HASHES   1 * SEGMENT_SIZE
+#define SEGMENT_OFFSET_RNGS     2 * SEGMENT_SIZE
+#define SEGMENT_OFFSET_CIPHERS  3 * SEGMENT_SIZE
 
-#ifndef bitsToBytes
-#define bitsToBytes(x)          (x / 8)
-#endif
 
-// Segments.
-#define SEGMENT_OFFSET_GLOBALS  BASE_ADDR + (0 * SEGMENT_SIZE)
-#define SEGMENT_OFFSET_HASHES   BASE_ADDR + (1 * SEGMENT_SIZE)
-#define SEGMENT_OFFSET_RNGS     BASE_ADDR + (2 * SEGMENT_SIZE)
-#define SEGMENT_OFFSET_CIPHERS  BASE_ADDR + (3 * SEGMENT_SIZE)
+// addresses and codes common to all cores
+#define ADDR_NAME0              0x00
+#define ADDR_NAME1              0x01
+#define ADDR_VERSION            0x02
 
 
-// addresses and codes common to all cores
-#define ADDR_NAME0              ADDR(0x00)
-#define ADDR_NAME1              ADDR(0x01)
-#define ADDR_VERSION            ADDR(0x02)
+// a handy macro from cryptlib
+#ifndef bitsToBytes
+#define bitsToBytes(x)          (x / 8)
+#endif
 
 
 //------------------------------------------------------------------
 // Board segment.
 // Board-level registers and communication channel registers
 //------------------------------------------------------------------
-#define BOARD_CORE_SIZE         ADDR(0x100)
+#define BOARD_CORE_SIZE         0x100
 
 #define BOARD_ADDR_BASE         SEGMENT_OFFSET_GLOBALS + (0 * BOARD_CORE_SIZE)
 #define BOARD_ADDR_NAME0        BOARD_ADDR_BASE + ADDR_NAME0
 #define BOARD_ADDR_NAME1        BOARD_ADDR_BASE + ADDR_NAME1
 #define BOARD_ADDR_VERSION      BOARD_ADDR_BASE + ADDR_VERSION
-#define BOARD_ADDR_DUMMY        BOARD_ADDR_BASE + ADDR(0xFF)
+#define BOARD_ADDR_DUMMY        BOARD_ADDR_BASE + 0xFF
 
 #define COMM_ADDR_BASE          SEGMENT_OFFSET_GLOBALS + (1 * BOARD_CORE_SIZE)
 #define COMM_ADDR_NAME0         COMM_ADDR_BASE + ADDR_NAME0
@@ -88,17 +78,17 @@
 //------------------------------------------------------------------
 // Hashes segment.
 //------------------------------------------------------------------
-#define HASH_CORE_SIZE          ADDR(0x100)
+#define HASH_CORE_SIZE          0x100
 
-// addresses and codes common to all hash cores */
-#define ADDR_CTRL               ADDR(0x8)
+// addresses and codes common to all hash cores
+#define ADDR_CTRL               0x8
 #define CTRL_INIT_CMD           1
 #define CTRL_NEXT_CMD           2
-#define ADDR_STATUS             ADDR(0x9)
+#define ADDR_STATUS             0x9
 #define STATUS_READY_BIT        1
 #define STATUS_VALID_BIT        2
-#define ADDR_BLOCK              ADDR(0x10)
-#define ADDR_DIGEST             ADDR(0x20)      // except SHA512
+#define ADDR_BLOCK              0x10
+#define ADDR_DIGEST             0x20      // except SHA512
 
 // addresses and codes for the specific hash cores.
 #define SHA1_ADDR_BASE          SEGMENT_OFFSET_HASHES + (0 * HASH_CORE_SIZE)
@@ -132,7 +122,7 @@
 #define SHA512_ADDR_CTRL        SHA512_ADDR_BASE + ADDR_CTRL
 #define SHA512_ADDR_STATUS      SHA512_ADDR_BASE + ADDR_STATUS
 #define SHA512_ADDR_BLOCK       SHA512_ADDR_BASE + ADDR_BLOCK
-#define SHA512_ADDR_DIGEST      SHA512_ADDR_BASE + ADDR(0x40)
+#define SHA512_ADDR_DIGEST      SHA512_ADDR_BASE + 0x40
 #define SHA512_BLOCK_LEN        bitsToBytes(1024)
 #define SHA512_LENGTH_LEN       bitsToBytes(128)
 #define SHA512_224_DIGEST_LEN   bitsToBytes(224)
@@ -145,73 +135,97 @@
 #define MODE_SHA_512            3 << 2
 
 
-// -----------------------------------------------------------------
+//-----------------------------------------------------------------
 // TRNG segment.
-// -----------------------------------------------------------------
-#define TRNG_CORE_SIZE          ADDR(0x100)
+//-----------------------------------------------------------------
+#define TRNG_CORE_SIZE          0x100
 
 // addresses and codes for the TRNG cores */
 #define TRNG_ADDR_BASE          SEGMENT_OFFSET_RNGS + (0 * TRNG_CORE_SIZE)
 #define TRNG_ADDR_NAME0         TRNG_ADDR_BASE + ADDR_NAME0
 #define TRNG_ADDR_NAME1         TRNG_ADDR_BASE + ADDR_NAME1
 #define TRNG_ADDR_VERSION       TRNG_ADDR_BASE + ADDR_VERSION
-#define TRNG_ADDR_CTRL          TRNG_ADDR_BASE + ADDR(0x10)
+#define TRNG_ADDR_CTRL          TRNG_ADDR_BASE + 0x10
 #define TRNG_CTRL_DISCARD       1
 #define TRNG_CTRL_TEST_MODE     2
-#define TRNG_ADDR_STATUS        TRNG_ADDR_BASE + ADDR(0x11)
+#define TRNG_ADDR_STATUS        TRNG_ADDR_BASE + 0x11
 // no status bits defined (yet)
-#define TRNG_ADDR_DELAY         TRNG_ADDR_BASE + ADDR(0x13)
+#define TRNG_ADDR_DELAY         TRNG_ADDR_BASE + 0x13
 
 #define ENTROPY1_ADDR_BASE      SEGMENT_OFFSET_RNGS + (5 * TRNG_CORE_SIZE)
 #define ENTROPY1_ADDR_NAME0     ENTROPY1_ADDR_BASE + ADDR_NAME0
 #define ENTROPY1_ADDR_NAME1     ENTROPY1_ADDR_BASE + ADDR_NAME1
 #define ENTROPY1_ADDR_VERSION   ENTROPY1_ADDR_BASE + ADDR_VERSION
-#define ENTROPY1_ADDR_CTRL      ENTROPY1_ADDR_BASE + ADDR(0x10)
+#define ENTROPY1_ADDR_CTRL      ENTROPY1_ADDR_BASE + 0x10
 #define ENTROPY1_CTRL_ENABLE    1
-#define ENTROPY1_ADDR_STATUS    ENTROPY1_ADDR_BASE + ADDR(0x11)
+#define ENTROPY1_ADDR_STATUS    ENTROPY1_ADDR_BASE + 0x11
 #define ENTROPY1_STATUS_VALID   1
-#define ENTROPY1_ADDR_ENTROPY   ENTROPY1_ADDR_BASE + ADDR(0x20)
-#define ENTROPY1_ADDR_DELTA     ENTROPY1_ADDR_BASE + ADDR(0x30)
+#define ENTROPY1_ADDR_ENTROPY   ENTROPY1_ADDR_BASE + 0x20
+#define ENTROPY1_ADDR_DELTA     ENTROPY1_ADDR_BASE + 0x30
 
 #define ENTROPY2_ADDR_BASE      SEGMENT_OFFSET_RNGS + (6 * TRNG_CORE_SIZE)
 #define ENTROPY2_ADDR_NAME0     ENTROPY2_ADDR_BASE + ADDR_NAME0
 #define ENTROPY2_ADDR_NAME1     ENTROPY2_ADDR_BASE + ADDR_NAME1
 #define ENTROPY2_ADDR_VERSION   ENTROPY2_ADDR_BASE + ADDR_VERSION
-#define ENTROPY2_ADDR_CTRL      ENTROPY2_ADDR_BASE + ADDR(0x10)
+#define ENTROPY2_ADDR_CTRL      ENTROPY2_ADDR_BASE + 0x10
 #define ENTROPY2_CTRL_ENABLE    1
-#define ENTROPY2_ADDR_STATUS    ENTROPY2_ADDR_BASE + ADDR(0x11)
+#define ENTROPY2_ADDR_STATUS    ENTROPY2_ADDR_BASE + 0x11
 #define ENTROPY2_STATUS_VALID   1
-#define ENTROPY2_ADDR_OPA       ENTROPY2_ADDR_BASE + ADDR(0x18)
-#define ENTROPY2_ADDR_OPB       ENTROPY2_ADDR_BASE + ADDR(0x19)
-#define ENTROPY2_ADDR_ENTROPY   ENTROPY2_ADDR_BASE + ADDR(0x20)
-#define ENTROPY2_ADDR_RAW       ENTROPY2_ADDR_BASE + ADDR(0x21)
-#define ENTROPY2_ADDR_ROSC      ENTROPY2_ADDR_BASE + ADDR(0x22)
+#define ENTROPY2_ADDR_OPA       ENTROPY2_ADDR_BASE + 0x18
+#define ENTROPY2_ADDR_OPB       ENTROPY2_ADDR_BASE + 0x19
+#define ENTROPY2_ADDR_ENTROPY   ENTROPY2_ADDR_BASE + 0x20
+#define ENTROPY2_ADDR_RAW       ENTROPY2_ADDR_BASE + 0x21
+#define ENTROPY2_ADDR_ROSC      ENTROPY2_ADDR_BASE + 0x22
 
 #define MIXER_ADDR_BASE         SEGMENT_OFFSET_RNGS + (0x0a * TRNG_CORE_SIZE)
 #define MIXER_ADDR_NAME0        MIXER_ADDR_BASE + ADDR_NAME0
 #define MIXER_ADDR_NAME1        MIXER_ADDR_BASE + ADDR_NAME1
 #define MIXER_ADDR_VERSION      MIXER_ADDR_BASE + ADDR_VERSION
-#define MIXER_ADDR_CTRL         MIXER_ADDR_BASE + ADDR(0x10)
+#define MIXER_ADDR_CTRL         MIXER_ADDR_BASE + 0x10
 #define MIXER_CTRL_ENABLE       1
 #define MIXER_CTRL_RESTART      2
-#define MIXER_ADDR_STATUS       MIXER_ADDR_BASE + ADDR(0x11)
+#define MIXER_ADDR_STATUS       MIXER_ADDR_BASE + 0x11
 // no status bits defined (yet)
-#define MIXER_ADDR_TIMEOUT      MIXER_ADDR_BASE + ADDR(0x20)
+#define MIXER_ADDR_TIMEOUT      MIXER_ADDR_BASE + 0x20
 
 #define CSPRNG_ADDR_BASE        SEGMENT_OFFSET_RNGS + (0x0b * TRNG_CORE_SIZE)
 #define CSPRNG_ADDR_NAME0       CSPRNG_ADDR_BASE + ADDR_NAME0
 #define CSPRNG_ADDR_NAME1       CSPRNG_ADDR_BASE + ADDR_NAME1
 #define CSPRNG_ADDR_VERSION     CSPRNG_ADDR_BASE + ADDR_VERSION
-#define CSPRNG_ADDR_CTRL        CSPRNG_ADDR_BASE + ADDR(0x10)
+#define CSPRNG_ADDR_CTRL        CSPRNG_ADDR_BASE + 0x10
 #define CSPRNG_CTRL_ENABLE      1
 #define CSPRNG_CTRL_SEED        2
-#define CSPRNG_ADDR_STATUS      CSPRNG_ADDR_BASE + ADDR(0x11)
+#define CSPRNG_ADDR_STATUS      CSPRNG_ADDR_BASE + 0x11
 #define CSPRNG_STATUS_VALID     1
-#define CSPRNG_ADDR_RANDOM      CSPRNG_ADDR_BASE + ADDR(0x20)
-#define CSPRNG_ADDR_NROUNDS     CSPRNG_ADDR_BASE + ADDR(0x40)
-#define CSPRNG_ADDR_NBLOCKS_LO  CSPRNG_ADDR_BASE + ADDR(0x41)
-#define CSPRNG_ADDR_NBLOCKS_HI  CSPRNG_ADDR_BASE + ADDR(0x42)
+#define CSPRNG_ADDR_RANDOM      CSPRNG_ADDR_BASE + 0x20
+#define CSPRNG_ADDR_NROUNDS     CSPRNG_ADDR_BASE + 0x40
+#define CSPRNG_ADDR_NBLOCKS_LO  CSPRNG_ADDR_BASE + 0x41
+#define CSPRNG_ADDR_NBLOCKS_HI  CSPRNG_ADDR_BASE + 0x42
+
+
+//------------------------------------------------------------------
+// Test case public functions
+//------------------------------------------------------------------
+void tc_set_debug(int onoff);
+int tc_write(off_t offset, const uint8_t *buf, size_t len);
+int tc_read(off_t offset, uint8_t *buf, size_t len);
+int tc_expected(off_t offset, const uint8_t *expected, size_t len);
+int tc_init(off_t offset);
+int tc_next(off_t offset);
+int tc_wait(off_t offset, uint8_t status, int *count);
+int tc_wait_ready(off_t offset);
+int tc_wait_valid(off_t offset);
+
+
+//------------------------------------------------------------------
+// I2C configuration
+// Only used in I2C, but not harmful to define for EIM
+//------------------------------------------------------------------
+#define I2C_dev                 "/dev/i2c-2"
+#define I2C_addr                0x0f
+#define I2C_SLAVE               0x0703
+
 
 //======================================================================
-// EOF cryptech_memory_map.h
+// EOF cryptech.h
 //======================================================================
diff --git a/eim/sw/hash_eim.c b/sw/hash.c
similarity index 98%
rename from eim/sw/hash_eim.c
rename to sw/hash.c
index e698129..1b7d2cc 100644
--- a/eim/sw/hash_eim.c
+++ b/sw/hash.c
@@ -50,14 +50,12 @@
 #include <ctype.h>
 #include <assert.h>
 
-#include "tc_eim.h"
-#include "cryptech_memory_map.h"
+#include "cryptech.h"
 
 char *usage =
 "Usage: %s [-d] [-v] [-q] [algorithm [file]]\n"
 "algorithms: sha-1, sha-256, sha-512/224, sha-512/256, sha-384, sha-512\n";
 
-int debug = 0;
 int quiet = 0;
 int verbose = 0;
 
@@ -243,7 +241,7 @@ int main(int argc, char *argv[])
             printf(usage, argv[0]);
             return EXIT_SUCCESS;
         case 'd':
-            debug = 1;
+            tc_set_debug(1);
             break;
         case 'v':
             verbose = 1;
diff --git a/eim/sw/hash_tester_eim.c b/sw/hash_tester.c
similarity index 99%
rename from eim/sw/hash_tester_eim.c
rename to sw/hash_tester.c
index 8b4f04b..6ddc09a 100644
--- a/eim/sw/hash_tester_eim.c
+++ b/sw/hash_tester.c
@@ -52,10 +52,8 @@
 #include <ctype.h>
 #include <signal.h>
 
-#include "tc_eim.h"
-#include "cryptech_memory_map.h"
+#include "cryptech.h"
 
-int debug = 0;
 int quiet = 0;
 int repeat = 0;
 
@@ -595,7 +593,7 @@ int main(int argc, char *argv[])
             printf(usage, argv[0]);
             return EXIT_SUCCESS;
         case 'd':
-            debug = 1;
+            tc_set_debug(1);
             break;
         case 'q':
             quiet = 1;
diff --git a/eim/sw/novena-eim.c b/sw/novena-eim.c
similarity index 100%
rename from eim/sw/novena-eim.c
rename to sw/novena-eim.c
diff --git a/eim/sw/novena-eim.h b/sw/novena-eim.h
similarity index 100%
rename from eim/sw/novena-eim.h
rename to sw/novena-eim.h
diff --git a/eim/sw/tc_eim.c b/sw/tc_eim.c
similarity index 88%
rename from eim/sw/tc_eim.c
rename to sw/tc_eim.c
index d44b685..0461f15 100644
--- a/eim/sw/tc_eim.c
+++ b/sw/tc_eim.c
@@ -41,12 +41,14 @@
 #include <stdint.h>
 #include <arpa/inet.h>
 
-#include "tc_eim.h"
+#include "novena-eim.h"
+#include "cryptech.h"
 
-extern int debug;
+static int debug = 0;
 static int inited = 0;
 
 /* ---------------- EIM low-level code ---------------- */
+
 static int init(void)
 {
     if (inited)
@@ -61,8 +63,27 @@ static int init(void)
     return 0;
 }
 
+/* translate cryptech register number to EIM address
+ *
+ * register number format:
+ * 3 bits segment selector
+ * 5 bits core selector (6 bits in native eim)
+ * 8 bits register selector
+ *
+ * sss ccccc rrrrrrrr => 00001000000000 sss 0 ccccc rrrrrrrr 00
+ */
+static off_t eim_offset(off_t offset)
+{
+    return EIM_BASE_ADDR + ((offset & ~0x1fff) << 3) + ((offset & 0x1fff) << 2);
+}
+
 /* ---------------- test-case low-level code ---------------- */
 
+void tc_set_debug(int onoff)
+{
+    debug = onoff;
+}
+
 static void dump(char *label, const uint8_t *buf, size_t len)
 {
     if (debug) {
@@ -81,6 +102,7 @@ int tc_write(off_t offset, const uint8_t *buf, size_t len)
 
     dump("write ", buf, len);
 
+    offset = eim_offset(offset);
     for (; len > 0; offset += 4, buf += 4, len -= 4) {
         uint32_t val;
         val = htonl(*(uint32_t *)buf);
@@ -98,6 +120,7 @@ int tc_read(off_t offset, uint8_t *buf, size_t len)
     if (init() != 0)
         return -1;
 
+    offset = eim_offset(offset);
     for (; rlen > 0; offset += 4, rbuf += 4, rlen -= 4) {
         uint32_t val;
         eim_read_32(offset, &val);
diff --git a/i2c/sw/tc_i2c.c b/sw/tc_i2c.c
similarity index 98%
rename from i2c/sw/tc_i2c.c
rename to sw/tc_i2c.c
index 613d1c6..333a531 100644
--- a/i2c/sw/tc_i2c.c
+++ b/sw/tc_i2c.c
@@ -40,13 +40,17 @@
 #include <sys/ioctl.h>
 #include <stdint.h>
 
-#include "tc_i2c.h"
+#include "cryptech.h"
 
-extern int debug;
+static int debug = 0;
+static int i2cfd = -1;
 
 /* ---------------- I2C low-level code ---------------- */
 
-static int i2cfd = -1;
+void tc_set_debug(int onoff)
+{
+    debug = onoff;
+}
 
 static void dump(char *label, const uint8_t *buf, size_t len)
 {
diff --git a/eim/sw/trng_extractor_eim.c b/sw/trng_extractor.c
similarity index 98%
rename from eim/sw/trng_extractor_eim.c
rename to sw/trng_extractor.c
index be6ab16..f71a5d6 100644
--- a/eim/sw/trng_extractor_eim.c
+++ b/sw/trng_extractor.c
@@ -40,8 +40,7 @@
 #include <stdint.h>
 #include <ctype.h>
 
-#include "tc_eim.h"
-#include "cryptech_memory_map.h"
+#include "cryptech.h"
 
 char *usage = 
 "%s [-a|r|c] [-n #] [-o file]\n\
@@ -53,8 +52,6 @@ char *usage =
 -o      output file (defaults to stdout)\n\
 ";
 
-int debug = 0;          /* for dump() */
-
 /* extract one data sample */
 static int extract(off_t status_addr, off_t data_addr, uint32_t *data)
 {
diff --git a/eim/sw/trng_tester_eim.c b/sw/trng_tester.c
similarity index 99%
rename from eim/sw/trng_tester_eim.c
rename to sw/trng_tester.c
index def75ab..f0b80d0 100644
--- a/eim/sw/trng_tester_eim.c
+++ b/sw/trng_tester.c
@@ -47,8 +47,7 @@
 #include <ctype.h>
 #include <signal.h>
 
-#include "tc_eim.h"
-#include "cryptech_memory_map.h"
+#include "cryptech.h"
 
 char *usage = "Usage: %s [-h] [-d] [-q] [-r] [-w] [-n #] tc...\n";
 
@@ -288,6 +287,7 @@ int main(int argc, char *argv[])
             printf(usage, argv[0]);
             return EXIT_SUCCESS;
         case 'd':
+            tc_set_debug(1);
             debug = 1;
             break;
         case 'q':



More information about the Commits mailing list